KR101797880B1 - 구리 배선용 코발트 질화물층 및 이의 제조방법 - Google Patents

구리 배선용 코발트 질화물층 및 이의 제조방법 Download PDF

Info

Publication number
KR101797880B1
KR101797880B1 KR1020167005357A KR20167005357A KR101797880B1 KR 101797880 B1 KR101797880 B1 KR 101797880B1 KR 1020167005357 A KR1020167005357 A KR 1020167005357A KR 20167005357 A KR20167005357 A KR 20167005357A KR 101797880 B1 KR101797880 B1 KR 101797880B1
Authority
KR
South Korea
Prior art keywords
copper
layer
cobalt
metal
deposited
Prior art date
Application number
KR1020167005357A
Other languages
English (en)
Other versions
KR20160030583A (ko
Inventor
로이 제라드 고든
하리쉬 반다리
훈 김
Original Assignee
프레지던트 앤드 펠로우즈 오브 하바드 칼리지
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 filed Critical 프레지던트 앤드 펠로우즈 오브 하바드 칼리지
Publication of KR20160030583A publication Critical patent/KR20160030583A/ko
Application granted granted Critical
Publication of KR101797880B1 publication Critical patent/KR101797880B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C211/00Compounds containing amino groups bound to a carbon skeleton
    • C07C211/65Metal complexes of amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Compositions Of Oxide Ceramics (AREA)

Abstract

본 발명에 의한 집적 회로용 배선 구조는 구리 와이어들의 결정핵 생성, 성장 및 접착을 촉진하는 코발트 질화물층을 통합한다. 코발트 질화물은 텅스텐 질화물 또는 탄탈륨 질화물과 같은 내화성 금속 질화물층 또는 카바이드층 상에 증착될 수 있는데, 구리에 대한 확산 배리어로 작용하고 또한 코발트 질화물과 저부 절연체 사이의 접착력을 증가시킨다. 코발트 질화물은 신규한 코발트 아미디네이트 전구체로부터 화학적 기상 증착에 의해 형성될 수 있다. 코발트 질화물 위에 증착된 구리층들은 높은 전기 전도성을 나타내고 마이크로전자공학용 구리 컨덕터의 전기화학적 증착을 위한 씨드층들로 사용될 수 있다.

Description

구리 배선용 코발트 질화물층 및 이의 제조방법{COBALT NITRIDE LAYERS FOR COPPER INTERCONNECTS AND METHODS FOR FORMING THEM}
본 출원은 2007년 4월 9일자로 출원되고 본원에 언급함으로써 그 내용이 전체적으로 통합된 미국 가특허출원 60/922,485의 이익을 청구한다.
본 출원은 2007년 10월 5일자로 출원되고 본원에 언급함으로써 그 내용이 전체적으로 통합된 미국 가특허출원 60/998,023의 이익을 청구한다.
본 발명은 마이크로전자공학에서 사용되는 구리 배선들 및 금속-포함층들의 증착방법에 관계한다.
구리는 마이크로프로세서들(microprocessors) 및 메모리들(memories)과 같은 마이크로전자공학 장치들의 와이어링용으로 선택되는 물질로서 알루미늄을 대체한다. 구리는 보통 전기도금 공정에 의한 실리콘 이산화물과 같은 절연체 내의 홀들(holes) 및 트렌치들(trenches) 내에 위치된다. 장치 표면의 여분의 구리는 이후에 연마된다. 구조는 절연체에 의해 캡핑되는데, 와이어링의 다음 단계를 시작하도록 홀들 및 트렌치들이 절연체 내부에 에칭된다.
연마 공정 동안 극소의 구리 와이어들(copper wires)이 견디기 위해서, 구리는 절연체에 강하게 접착되어야 한다. 접착은 장치의 제조 및 사용의 휴지(rest) 동안 내내 유지되어야 한다. 최근에 사용된 기술에서, 스퍼터된 탄탈륨 질화물(TaN) 및 탄탈륨 금속(Ta)의 이중층 구조는 이러한 접착을 제공하기 위해 사용된다. 탄탈륨 질화물(TaN)은 절연체에 강한 접착을 제공하고, 탄탈륨(Ta)은 구리의 스퍼터된 씨드층에 강하게 접착되는데, 씨드층 상에 여분의 구리가 전기도금된다. 탄탈륨(Ta)은 또한 산소 및 물이 구리 배선을 부식시키는 것을 방지한다.
실리콘과 같은 반도체 내에서 구리의 존재는 반도체 내에 형성된 트랜지스터의 적절한 기능을 방해할 수 있는 결함들의 원인이 된다. 구리는 또한 구리 배선들 사이에 위치된 실리콘 이산화물과 같은 절연체들을 통한 전류의 누출을 증가시킨다. 따라서, 구리 배선의 사용은 효과적인 확산 배리어들(efficient diffusion barriers)이 구리 배선들을 둘러싸서 적절한 위치들로 한정된 구리를 유지하는 것을 필요로 한다. 현재의 기술에서 스퍼터된 TaN은 확산 배리어로 사용된다.
구리는 또한 회로 내에서 전자들이 흐르는 방향으로 이동하는 경향을 갖는다. 이러한 전자이동 공정은 충분히 큰 보이드가 구리 배선 내에 형성되면, 증가된 전자 저항 또한 개방 회로까지 유도할 수 있다. 이러한 원하지 않는 행동은 대개 구리 표면을 따라 발생한다. 전자이동을 저해하는 재료들로 구리 배선들을 둘러싸는 것에 의해 오랜 수명이 유지될 수 있다. 탄탈륨 금속(Ta)은 통용되는 구리 배선들의 바닥 및 측면들 상에 이러한 기능을 제공한다. 구리 배선의 상부들(이 부분들은 상위 레벨에 연결되지 않는다)은 전형적으로 실리콘 질화물 또는 실리콘 탄화물에 의해 둘러싸지는데, 이러한 재료들은 구리 전자이동을 감소시키는데 있어서 Ta 만큼 효과적이지 않다.
국가 반도체 기술 로드맵(International Technology Roadmap for Semiconductors (ITRS))에 매년 발표되는 바와 같이, 장래의 마이크로전자공학 장치들에서, 산업화의 계획은 더 얇은 배리어에 기초한 더 좁은 배선, 접착 및 씨드층을 필요로 한다. ITRS는 통용되는 스퍼터된 Cu/TaN/Ta가 이러한 계획된 요구들을 만족시킬 수 없을 것이라고 제안한다. 스퍼터된 코팅들의 낮은 컨포멀리티(conformality)는 이러한 구조들의 저부에 충분한 두께를 제공하기 위해 필요 층들보다 더 두꺼운 것이 홀들 및 트렌치들의 상부 가까이에 요구된다는 것을 의미한다. 피쳐들(features)의 상부 가까이에서 결과적인 "오버행(overhang)"은 전기도금된 구리가 보이드의 이탈 없이 홀들 및 트렌치들을 채우는 것을 어렵게 하는데, 이는 저항을 증가시키고 전자이동-유도된 불안정성을 악화시킨다.
코발트(Co) 금속은 배선들 내에서 Ta 접착층들에 대한 대체물로 제안되어 왔다. Co 필름들은 스퍼터된 Ta보다 우수한 컨포멀리티로 증기-증착(CVD 또는 ALD)될 수 있다. 그러나 구리가 코발트 표면들에 증기-증착되면, 구리는 분리된 핵들로 응집되어 낮은 전기 전도도를 갖는 상대적으로 거친 필름을 형성하는 경향이 있다.
루테늄(Ru) 금속 또한 배선들 내에서 Ta 접착층들에 대한 대체물로 제안되어 왔다. Ru 필름들은 스퍼터된 Ta보다 우수한 컨포멀리티로 증기-증착(CVD 또는 ALD)될 수 있다. 구리가 Ru에 증기-증착되면, 구리층들은 매끄러워질 수 있고 적절한 조건하에서 만들어질 때 높은 전도도를 갖는다. 그러나, Ru은 값비싼 금속이고, Ru은 배선들 내의 큰-범위의 적용에 대하여 충분한 양이 가능하지 않을 수 있다. 또한, Ru은 산소에 대한 우수한 확산 배리어가 아니다.
따라서, 현재의 와이어링 기술은 매끄럽고 높은 전도도의 구리층들이 증착될 수 있는 컨포멀(conformal)하고, 저렴한 접착 및 산소 확산 배리어층에 대한 기술이 부족하다.
요약
구리와 둘러싸는 재료들 사이의 확고한 접착을 보장하는 재료들 및 기술들이 개시되었는데, 배선들 외부로의 구리의 확산 및 산소 또는 물이 배선 내로 들어가는 것을 방지하고 수송하는 전기적 전류에 의해 구리 배선들이 손상되는 것을 방지하는 배리어들이 제공된다.
컨포멀한, 코발트 질화물(CoxN)이 기술되었는데, 그 위에 매끄럽고 고 전도성을 갖는 구리층들이 증착될 수 있다. CoxN의 조성은 약 x = 1 내지 약 x =10의 범위이고, 예를 들어, 약 3 내지 6의 범위일 수 있다. 일 구현예에서, x는 약 4이고 이는 Co4N에 대응한다. X는 정수일 필요가 없다.
CoxN 층들은 임의의 간편한 방법에 의해 증착될 수 있는데, 물리적 기상 증착(PVD) 및 화학적 기상 증착(CVD) 방법을 포함한다. CVD는 컨포멀한 코팅들을 제공하는 증착 조건하에서 수행될 수 있다.
일 구현예에서, CoxN 층은 코발트 아미디네이트, 예를 들어, 암모니아와 같은 질소 소스 및 예를 들어, 수소 기체와 같은 환원 소스의 기체로부터의 CVD에 의해 증착된다.
선택적으로, 비정질 TaN, TaC, WN, WC 또는 MoN 또는 이들의 혼합물과 같은 구리 확산 배리어는 코발트-함유층의 증착에 우선하여 증착될 수 있다.
구리층은 CVD, PVD, 화학적 환원 또는 전기화학-증착과 같은 임의의 간편한 방법에 의해 CoxN 층상에 증착될 수 있다. 일 구현예에서, 구리 박막층은 최초로 CVD에 의해 증착되고, 이어서 구리의 더 두꺼운 층의 전기화학 증착이 뒤따른다.
일 구현예에서, 구리층들은 최초로 구리 산화질화물층의 매끄러운층을 증착하는 것에 의해 준비되고, 이어서 구리 산화질화물을 구리 금속으로 환원하는 것이 뒤따른다. 금속층은 낮은 표면 조도를 갖는데, 예를 들어, 5nm 이하 또는 1nm 이하의 RMS 조도를 갖는다.
CoxN의 사용은 매끄러운 접착층을 제공하고 예를 들어, 전자 소자들, 회로들, 장치들 및 시스템들을 제조하기 위한 고 전도도 및 강한 접착력의 구리층들을 형성하기 위한 기판을 제공한다. 본 발명의 다른 특징들 및 이점들은 후술하는 내용 및 수반되는 도면 및 청구항들로부터 명백해질 것이다.
다른 양상에서, 금속-포함층은 기판을 리튬, 소듐, 포타슘, 베릴륨, 칼슘, 스트론튬, 바륨, 스칸듐, 이트륨, 란타늄 및 다른 란탄족 금속들, 티타늄, 지르코늄, 하프늄, 바나듐, 니오븀, 탄탈륨, 몰리브데늄, 텅스텐, 망간, 레늄, 철, 루테늄, 코발트, 로듐, 니켈, 팔라듐, 은, 아연, 카드뮴, 주석, 납, 안티모니 및 비스무스로 이루어진 군으로부터 선택되는 하나 이상의 금속 아미디네이트의 증기를 포함하는 기체 혼합물에 기판을 노출시켜 화학적 기상 증착에 의해 형성될 수 있다.
하나 이상의 구현예들에서, 기체 혼합물은 환원제 또는 산소 또는 질소 포함 기체와 같은 부가적인 반응물을 포함한다.
하나 이상의 구현예들에서, 기체 혼합물은 코발트 아미디네이트 및 질소와 수소 환원제의 증기 소스를 포함한다. 코발트 질화물층이 수득될 수 있다. 다른 금속 아미디네이트 소스들이 사용될 수 있다.
하나 이상의 구현예들에서, 기체 혼합물은 구리 아미디네이트를 포함한다. 기체 혼합물은 증기 산소 소스를 포함할 수 있고 구리 산화층이 수득될 수 있다. 다른 금속 아미디네이트 소스들이 사용될 수 있다.
하나 이상의 구현예들에서, 기체 혼합물은 구리 아미디네이트를 포함하고 기체 혼합물은 증기 산소 소스 및 증기 질소 소스를 포함할 수 있다. 구리 산화질화물층이 수득될 수 있다. 다른 금속 아미디네이트 소스들이 사용될 수 있다.
다른 구현예들에서, 수소와 같은 환원 소스가 구리 포함 필름의 증착 동안 또는 이후에 제공되어 구리 금속층을 형성한다.
도 1은 본 발명에 의해 구조화된 배선 트랜치 및 비아의 개략적인 단면도이다.
도 2는 Co4N 필름의 전자 회절 패턴이다.
도 3의 우측절반(패널 3)은 CoxN 및 Cu의 층들을 포함하는 이중 필름의 전자 회절 패턴으로, Co4N 필름 단독의 전자 회절 패턴(1, 도 3의 좌측 상부) 및 Cu2O 층을 포함하는 구리 금속 필름(2, 도 3의 좌측 하부)과 비교된다.
상세한 설명
전도성 트렌치들(100) 및 바이어스(홀들)(110)를 포함하는 집적 회로와 같은 전자 소자의 개략적인 단면도가 도 1에 도시되었다. 구조는 종래의 포토리소그래피에 의해 만들어질 수 있고 복합 절연층들(30, 40, 50 및 60)내의 트렌치들(100) 및 바이어스(홀들)(110)를 종래에 알려진 방법에 따라 에칭하여 만들어질 수 있다.
이러한 구조는 절연 영역들(10) 및 전기적 전도 영역들(20)을 포함하는 평탄 표면(planar surface)의 상부에 구성되어 배선의 차위 하위 레벨(next lower level)을 형성한다.
전형적으로 실리콘 질화물 또는 실리콘 탄화물인 캡핑층(30)은 절연 영역들(10) 및 전도성 영역들(20)에 의해 한정되고, 이어서 절연층(40) 및 에치-스탑 층(etch-stop layer)(50) 및 다른 절연층(60)에 의해 한정되는 표면 위에 위치된다. 알려진 절연 재료들은 전형적으로 플라스마-강화된 화학적 기상 증착(plasma-enhanced chemical vapor deposition (PECVD))에 의해 만들어지는 실리콘 다이옥사이드, 플루오르화 실리콘 다이옥사이드(fluorinated silicon dioxide) 및 실리콘 다이옥사이드 카바이드를 포함한다. 전형적인 에치-스탑 재료들은 PECVD 실리콘 질화물, 실리콘 카바이드 및 실리콘 카바이드-질화물을 포함한다. 트렌치들(100) 및 홀들(바이어스)(110)은 이어서 포토리소그래피에 의해 절연층들을 통해 에칭된다. 일단 형성되면, 트렌치들 및 홀들은 전도성 배선의 차위 고위 레벨(next higher level)을 형성하기 위해 구리로 채워진다.
선택적으로, 장치는 코발트 질화물 또는 구리 증착 이전에 부가적인 공정 단계들을 거칠 수 있다. 예를 들어, 하나 이상의 절연층들(40,60)은 기공들(pores)을 포함하고, 이러한 기공들에 대하여 개구들은 본원에 언급함으로써 그 내용이 전체적으로 통합된, Electrochemical and Solid State Letters, volume 7, pages G306-G-308 (2004)에 기술된 공정에 의해 실링(sealed)될 수 있다. 일 구현예에서, 층 표면들은 최초로 촉매제에 노출되는데, 이는 절연 표면들과 선택적으로 접촉하여 절연 표면의 적어도 일부분 상에 촉매 표면을 형성한다. 예시적인 촉매제는 금속 또는 메탈로이드 아미드, 아미디네이트, 알킬, 알콕사이드 및 할라이드를 포함하는 메탈로이드 화합물이다. 금속 또는 메탈로이드는 알루미늄, 붕소, 마그네슘, 스칸듐, 란타늄, 이트륨, 티타늄, 지르코늄, 또는 하프늄일 수 있다. 금속 또는 메탈로이드의 노출 시간 및/또는 반응성은 노출 시간 동안 유전체 내부의 기공 디퍼(pores deeper)가 그것으로 노출되지 않고/않거나 그것과 반응하지 않도록 선택된다. 다음으로, 바람직하게 실온 이상의 온도에서 표면은 하나 이상의 실라놀 화합물에 노출되어, 기판들의 촉매 표면 상에만 실리카층을 형성한다. 본원에 사용된 "실라놀"은 하나 이상의 하이드록실(OH) 그룹에 결합한 실리콘 원자를 포함하는 화합물들의 종을 지칭한다: 실라놀들은 알콕시실라놀, 알콕시알킬실라놀 및 알콕시실란디올 및 이들의 치환된 유도체들을 포함한다. 표면의 산 자리들(acid sites)은 실리카층 내에서 실라놀의 중합화를 촉진시키는데, 실리카층은 절연 재료의 노출된 표면들 상에 증착된다. 노출된 절연 재료가 기공들 내부 및 둘레에 표면들을 포함하면, 실리카는 외부 기공들을 브릿지 오버(bridges over)하고 실링한다. 이러한 기공-실링 공정(pore-sealing process)의 결과는 실리카층을 매끄럽게하고 깨끗하게하는데, 실리카층 위에 구리의 확산에 반하는 배리어가 증착될 수 있다.
확산 배리어(70)는 탄탈륨 질화물(TaNy), 텅스텐 질화물(WNy), 탄탈륨 카바이드, 텅스텐 카바이드(WCy) 또는 몰리브데늄 질화물(MoN)과 같은 비정질 재료의 박막을 포함할 수 있다. 전형적으로 y는 약 1이다. 확산 배리어의 하나의 비-제한적인 목적은 구리가 사용하는 동안 구조로부터 이탈되는 것을 방지하는 것이다. 확산 배리어의 다른 비-제한적인 목적은 순차로 증착된 CoxN 층과 저부의 절연체 사이의 접착성을 촉진하는 것이다. 확산 배리어는 스퍼터링 또는 CVD와 같은 임의의 효과적인 방법에 의해 증착될 수 있다.
CVD는 CVD 확산 배리어의 더 우수한 컨포멀리티(conformality)로 인해 바람직한 방법일 수 있다. 한 예를 들면, 비스(알킬-이미도)비스(디알킬아미도)텅스텐(VI)(bis(alkyl-imido)bis(dialkylamido)tungsten(VI))의 증기는 기판의 가열된 표면 상에서 암모니아 기체, NH3와 반응하여 텅스텐 질화물의 코팅들을 형성한다. 임의의 구현예들에서, 반응은 홀들 또는 트렌치들을 포함할 수 있는 기판들 상에 필름들을 형성하는 방식으로 수행될 수 있다.
텅스텐 화합물들은 일반식 1로 표시될 수 있는데, Rn 은 알킬 그룹, 플루오로알킬 그룹 또는 다른 원자들 또는 그룹들로 치환된 알킬 그룹일 수 있고, 바람직하게 화합물들의 휘발성을 강화하도록 선택되며, Rn 은 R1 내지 R6 중 임의의 하나이다. Rn 은 서로 동일하거나 다를 수 있다.
Figure 112016019298066-pat00001
1
특정한 구현예들에서, Rn 은 알킬 그룹, 아릴알킬 그룹, 알케닐알킬 그룹, 알키닐알킬 그룹, 플루오로알킬 그룹 또는 다른 원자들 또는 그룹들로 치환된 알킬 그룹일 수 있는데, 화합물들의 휘발성을 강화하도록 선택되고, Rn 은 R1 내지 R6 중 임의의 하나이고, Rn 은 서로 동일하거나 다를 수 있다.
적절한 비스(알킬-이미도)비스(디알킬아미도)텅스텐(VI) 화합물은 일반 구조식 2의 화합물로 도시된 바와 같이, 구조 1에 알킬 그룹 R5 및 R6을 포함하고 이미도 니트로겐(imido nitrogen)에 결합한 터셔리 카본(tertiary carbon)을 갖는다.
Figure 112016019298066-pat00002
2
메틸 그룹은 상기 주어진 일반식 2의 모든 Rn 에 대하여 선택될 수 있다. 하나 이상의 구현예들에서, 상기 텅스텐 화합물은 비스(tert-부틸이미도)비스(디메틸아미도)텅스텐(VI)(bis(tert-butylimido)bis(dimethylamido)tungsten(VI))이다; (tBuN)2(Me2N)2W.
다른 적절한 화합물들은 화학식 2에서 R1, R4, R5, R6, R7, R8, R9 및 R10 이 메틸 그룹, R2 및 R3가 에틸 그룹인, 즉, 비스(에틸메틸아미도)비스(tert-부틸이미도)텅스텐(VI)(bis(ethylmethylamido)bis(tert-butylimido)tungsten(VI))이고, 이 화합물은 화학식 1에서 R1, R2, R3 및 R4가 메틸 그룹이고 R5 및 R6가 이소프로필 그룹, 예를 들어, 비스(디메틸아미도)비스(이소프로필이미도)텅스텐(VI)(bis(dimethylamido)bis(isopropylimido)tungsten(VI))을 선택하여 얻어질 수 있다. 두 개 이상의 알킬 그룹이 연결되어 고리 화합물을 형성할 수 있고 그룹들은 일부 불포화 화합물, 예를 들어, 아릴, 알케닐 또는 알키닐 그룹을 포함할 수 있다. 부가적으로, 화합물은 중성 또는 음이온의 리간드를 포함할 수 있다. 다수의 중성 리간드들이 알려져 있다. 예시적인 중성 리간드들은 예를 들어, 알켄, 알킨, 포스핀 및 CO를 포함한다. 다수의 음의 리간드들이 알려져 있다. 예시적인 음의 리간드들은 메틸, 메톡시 및 디메틸아미도 그룹을 포함한다. 이러한 구조들은 낮은 탄소 함량으로 필름의 증착을 촉진시키는 것으로 생각되는데, 터셔리 탄소를 갖는 알킬 그룹에 대한 용이한 베타-수소 제거반응 때문이다. 다른 구현예들에서, 텅스텐 금속은 몰리브데늄으로 치환될 수 있다. 비스(알킬-이미도)비스(디알킬아미도)텅스텐(VI)(Bis(alkyl-imido)bis(dialkylamido)tungsten(VI)) 및 몰리브데늄(IV) 화합물들은 상업적으로 이용가능하거나 기존의 방법에 의해 만들어질 수 있다. 예를 들어, 본원에 언급함으로써 그 내용이 전체적으로 통합된 국제출원 WO 2004/007796를 참고할 수 있다.
텅스텐 질화물 필름들은 증착된 텅스텐 질화물 필름과 그것이 증착된 기판 사이에 우수한 접착이 생기게 하는 조건하에서 증착된다. 하나 이상의 구현예들에서, 균일성이 높은 텅스텐 필름들의 기상 증착은 반응물들의 농도 및 반응기 내의 기판의 위치와 같은 조건들의 범위에 걸쳐 완성된다. 하나 이상의 구현예들에서, 기판들은 200℃ 내지 500℃의 상대적으로 낮은 온도에서 코팅된다. 임의의 구현예들에서, WN 필름은 약 300℃와 약 500℃ 사이의 온도에서 유지된 기판 위에 마련된다.
다른 구현예들에서, 텅스텐 질화물층은 원차층 증착(ALD)을 사용하여 형성된다. ALD 공정은 기판을 비스(알킬-이미도)비스(디알킬아미도)텅스텐(VI) 화합물의 증기에 노출시키는 것에 대한 하나 이상의 주기를 포함하는데, 증기의 적어도 일부분은 자가-제한 공정(self-limiting process)에 의해 기판의 표면에 흡착된다; 이후에 기판을 암모니아 기체에 노출시키는데 이는 표면을 활성화하여 표면은 부가적인 양의 비스(알킬-이미도)비스(디알킬아미도)텅스텐(VI) 화합물과 반응하도록 준비된다. 예시적인 ALD 공정의 부가적인 세부사항이 본원에 언급함으로써 그 내용이 전체적으로 통합된 국제출원 WO 2004/007796에 기술되었다.
부가적으로, 방향성 이온 에칭이 일부 또는 전체의 배리어 재료를 제거하도록 사용될 수 있는데, 배리어 재료는 비아 홀들(via holes)의 바닥에서 구리와 같은 전도성 물질(20)을 덮는다(overlies). 이러한 단계는 비아와 저부 구리층들(20) 사이를 낮은 저항으로 연결하는 것을 허용한다. 도 1에 확산 배리어층이 구리층(20) 위에서 제거된 장치가 도시되었다.
다음으로, 코발트 질화물(CoxN)층(80)이 배리어층 위에 증착된다. 코발트 질화물층(80)은 임의의 종래 방법을 사용하여 도포될 수 있다. CoxN 층은 일반적으로 약 1과 10 사이, 약 2와 6 사이 또는 약 3과 5 사이의 x 값을 갖는다. 하나 이상의 구현예들에서, 코발트 질화물층 내의 화합물은 Co4N 이다. x가 정수일 필요는 없다.
임의의 구현예들에서, Co4N 층은 다결정질이다. 이러한 구조는 동일한 방향으로 구리 입자들의 에피텍셜 성장(epitaxial growth)을 촉진시킨다. 이러한 에피텍셜하게 방향된 구리 입자들과 코발트 질화물 사이의 강한 접착력은 상호연결 구조들의 안정성 및 수명을 강화하는 것으로 생각된다.
하나 이상의 구현예들에서, CVD는 CoxN 층을 증착하기 위해 사용되지만, 스퍼터링과 같은 다른 방법 또한 고려될 수 있다. 층(90)은 구리 씨드층일 수 있는데, 본 발명이 속하는 기술 분야에 알려진 임의의 종래 방법에 의해 증착될 수 있으며 CVD와 같은 화학적 방법 또는 스퍼터링 또는 PVD와 같은 물리적 방법을 포함할 수 있다. 트렌치들(100) 및 비아들(110)은 이후에 본 발명이 속하는 기술 분야에 잘 알려진 전기도금 또는 무전해 도금과 같은 종래 방법을 사용하여 구리로 채워진다.
특정한 구현예들에서, CoxN 확산 장벽층은 CVD에 의해 증착된다. 하나의 CVD 방법에서, 코발트 아미디네이트는 질소와 수소의 증기 소스와 혼합되고 가열된 기판에 노출되어 컨포멀한 코발트 질화물층을 증착한다. CoxN 층의 조성 x는 CVD 기체 혼합물의 조성을 변화시켜 조절될 수 있다. CVD 기체 혼합물에서 H2 내지 NH3의 비가 증가하면 x 값이 증가한다. 조성은 또한 층을 180 내지 400℃의 온도에서 증착한 후 어닐링(annealing)하여 조절될 수 있다. 어닐 대기(anneal atmosphere)에서 H2 내지 NH3의 비가 증가하면 또한 x 값이 증가한다.
하나 이상의 구현예들에서, 코발트 아미디네이트는 [M(AMD)x]의 구조를 갖는데, M은 Co이고, AMD는 아미디네이트이고 x=2 또는 3이다. 이러한 화합물들의 일부는 구조 3을 갖는다.
Figure 112016019298066-pat00003
3
식에서, Rl,R2,R3,R1',R2' 및 R3'은 하나 이상의 비금속 원자로 이루어진 군이다. 임의의 구현예들에서, Rl,R2,R3,R1',R2' 및 R3'은 동일하거나 다를 수 있고 독립적으로 수소, 알킬, 아릴, 알케닐, 알키닐, 트리알킬실릴 또는 플루오르알킬로 이루어진 군으로부터 선택된다. 임의의 구현예들에서, Rl,R2,R3,R1',R2' 및 R3' 은 동일하거나 다를 수 있고 각각 독립적으로 예를 들어, 1 내지 4개의 탄소 원자를 함유하는 플루오르알킬 또는 실릴알킬 그룹과 같은 알킬 또는 할로알킬이다. 하나 이상의 구현예들에서, 코발트 아미디네이트는 일반식 3에서 Rl,R2,R1',R2' 를 이소프로필 군으로서 선택하고 , R3 및 R3'는 메틸 군으로 선택한 코발트(II)
비스(N,N'-디이소프로필아세트아미디네이트)를 포함한다. 부가적으로, 화합물은 중성 또는 음의 리간드를 포함할 수 있다. 다수의 중성 리간드들이 알려져 있다. 예시적인 중성 리간드들은 예를 들어, 알켄, 알킨, 포스핀 및 CO를 포함한다. 다수의 음의 리간드들이 알려져 있다. 예시적인 음의 리간드들은 메틸, 메톡시 및 디메틸아미도 그룹을 포함한다.
예시적인 CVD 방법에서, 비스(N,N'-디이소프로필아세트아미디네이트) 증기는 암모니아(NH3) 기체 및 수소 기체(H2)와 약 80℃의 온도에서 혼합될 수 있고, 이러한 기체 혼합물은 부분적으로 완성된 상호연결 구조 위로 흐르는데 상호연결 구조는 100과 300℃ 사이, 바람직하게는 150과 250℃ 사이, 가장 바람직하게는 170과 200℃ 사이의 온도로 가열된다. CoxN 층은 확산 배리어 위에 형성된다. 임의의 구현예들에서, CoxN 층은 약 1 내지 4nm의 두께, 또는 약 2 내지 3nm의 두께를 갖는다.
코발트 질화물을 만들기 위한 대안적인 CVD 전구체는 R1 및 R1'이 tert-부틸이고, R2, R2' , R3 및 R3'이 에틸이고, 실온에서 액체인, 비스(N-tert-부틸-N'-에틸-프로피온아미디네이토)코발트(II)((N-tert-butyl-N'-ethyl-propionamidinato)cobalt(II))이다. 액체 전구체는 정제하고, 다루고 증발시키는 것이 고체 전구체보다 용이하다. 구리 아미디네이트는 상업적으로 이용가능하거나 종래 방법에 의해 만들 수 있다. 예를 들어, 본원에 언급함으로써 그 내용이 전체적으로 통합된, 국제 출원 WO 2004/046417에 개시되어 있다.
CoxN 층의 다른 증착 방법이 사용될 수 있다. 예를 들어, ALD 공정에서, 가열된 기판이 금속 아미디네이트와 환원 기체/질소 포함 화합물의 교호적인 증기에 노출되는데, 이는 CoxN 화합물의 준비를 위해 사용될 수 있다. 예를 들어, 보다 상세한 정보가 본원에 언급함으로써 그 내용이 전체적으로 통합된, 국제 출원 WO 2004/046417에 개시되어 있다.
구리 컨덕터가 스퍼터링과 같은 물리적 방법 및 CVD 또는 무전해 증착과 같은 화학적 방법을 포함하는 임의의 종래 방법에 의해 코발트 질화물층에 위치될 수 있다. 화학적 방법들은 전형적으로 더 우수한 컨포멀리티를 제공한다.
구리의 CVD는 본 발명이 속하는 분야에 알려진 방법들에 의해 수행될 수 있다. 예를 들어, 구리(I) 1,1,1,5,5,5-헥사플루오로아세틸아테토네이트 트리메틸비닐실란(copper(I)1,1,1,5,5,5-hexafluoroacetylacetonatetrimethylvinylsilane)(Cupraselecttm)은 구리의 CVD 소스이고, 이러한 사용이 예를 들어, 본원에 언급함으로써 그 내용이 전체적으로 통합된, Journal of the Electrochemical Society, volume 145, pages 4226-4233 (1998)에 기술되었다. 구리(I) N,N'-di-sec-부틸아세트아미디네이트(copper(I)N,N'-di-sec-butylacetamidinate)를 사용한 구리의 CVD 가 본원에 언급함으로써 그 내용이 전체적으로 통합된 WO 2004/046417에 기술되었다. 예를 들어, 수소와 같은 환원 기체의 존재에서 낮은 온도(200℃ 이하)에서의 짧은 반응 시간(수분 이하)은 상대적으로 매끄러운 구리 금속 필름들(RMS 조도(root mean square roughness)가 수 나노미터 이하)을 생산한다.
그러나 다른 구현예에서, 구리층은 구리 산화질화물층을 증착하고 얻어진 층을 구리 금속으로 환원하여 형성될 수 있다. 구리 산화물 또는 질화물은 금속 구리보다 더 우수한 젖음성(wettability)을 갖는데, 이는 더 높은 핵 생성밀도(nucleation density)를 갖게 하고, 금속 구리보다 더 매끄럽고 연속적인 모폴로지를 갖는 연속적인 박막이 되게 한다. 구리 산화질화물이 구리의 응집을 증가시키지 않는 조건하에서 금속 구리로 전환되면, 전구체층의 매끄러운 모폴로지는 구리 금속층으로 전달될 수 있다. 증착된 박막의 환원은 낮은 온도에서 수행되어 거칠거나 불연속적인 필름을 형성하게 하는 구리의 응집을 피하거나 감소시킨다.
예를 들어, (N,N'-di-sec-부틸-아세트아미디네이토)구리(I)이량체((N,N'-di-sec-butyl-acetamidinato)copper(I) dimer)가 상술된 바와 같이 암모니아 또는 하이드라진과 같은 질소 소스 및 O2, 수증기, 오존 또는 과산화수소와 같은 과산화물과 같은 산소 소스와 조합하여 구리의 CVD 소스로서 사용될 수 있다. 암모니아와 수증기의 혼합물이 증착 동안 반응 기체로서 사용될 때 산소와 질소는 필름 내로 통합된다. 낮은 온도(200℃ 이하)에서의 짧은 반응 시간(수분 이하)은 매우 매끄러운 구리 산화질화물 필름들(RMS 조도가 0.4 내지 0.6 나노미터)을 생산한다. 예를 들어, 50℃ 보다 낮은 온도에서 수소 플라즈마에 노출시켜 필름을 구리 금속으로 환원시키고 필름 내에 예외적인 매끄러움을 가져온다. 강한 환원제의 사용은 반응 온도를 낮추고 매끄러운 금속층의 형성을 촉진시킨다. 약 1 nm 이하, 및 0.5 내지 0.8nm 까지의 RMS 조도가 가능하다. 환원은 또한 용액 내에서의 화학적 환원 또는 전기화학적 환원에 의해 수행될 수 있다. 예를 들어, 구리 산화질화물은 중성 또는 염기 전해 용액에서 전기분해에 의해 환원될 수 있다.
일단 얇고 컨포멀한 구리 씨드층이 이러한 방법들 중 하나에 의해 코발트 질화물에 형성되고나면, 전기 화학 증착은 구리로 트렌치들 및 비아들을 채우는데사용될 수 있다. 전기화학 증착은 비용-효율이 높은 공정으로 보이드들(voids) 또는 심들(seams) 없이 순수한 구리를 제공할 수 있다는 이점이 있다. 구리 증착을 위한 기존의 방법이 사용된다.
다른 양상에서, 금속-포함 필름들은 적절한 반응기체와 혼합된 금속 아미디네이트 증기의 CVD에 의해 마련될 수 있다. 금속-포함층은 기판을 하나 이상의 금속 아미디네이트의 증기들을 포함하는 기체 혼합물에 노출시켜 화학적 기상 증착에 의해 형성될 수 있는데, 금속은 리튬, 소듐, 포타슘, 베릴륨, 칼슘, 스트론튬, 바륨, 스칸듐, 이트륨, 란타늄 및 다른 란탄족 금속들, 티타늄, 지르코늄, 하프늄, 바나듐, 니오븀, 탄탈륨, 몰리브데늄, 텅스텐, 망간, 레늄, 철, 루테늄, 코발트, 로듐, 니켈, 팔라듐, 은, 아연, 카드뮴, 주석, 납, 안티모니 및 비스무스로 이루어진 군으로부터 선택되는 하나 이상이다.
하나의 양상에서 금속을 포함하는 박막은 가열된 기판을 하나 이상의 휘발성 금속 아미디네이트 화합물 및 환원 기체 또는 증기의 증기들을 포함하는 기체 혼합물에 노출하여 마련되는데 기판의 표면에 금속 코팅을 형성한다. 하나 이상의 구현예들에서, 환원 기체는 수소 또는 포름산을 포함한다.
하나의 양상에서, 금속 질화물을 포함하는 박막은 가열된 기판을 하나 이상의 휘발성 금속 아미디네이트 화합물 및 질소-포함 기체 또는 증기의 증기들을 포함하는 기체 혼합물에 노출하여 마련되는데 기판의 표면에 금속 질화물 코팅을 형성한다. 하나 이상의 구현예들에서, 질소-포함 기체는 암모니아 또는 하이드라진을 포함한다.
다른 양상에서, 금속 산화물을 포함하는 박막은 가열된 기판을 하나 이상의 휘발성 금속 아미디네이트 화합물 및 산소-포함 기체 또는 증기의 증기들을 포함하는 기체 혼합물에 노출하여 마련되는데 기판의 표면에 금속 산화물 코팅을 형성한다. 하나 이상의 구현예들에서, 산소-포함 기체는 물, 산소, 오존 또는 과산화수소를 포함한다.
임의의 구현예들에서, 금속 산화물은 구리 산화물이고 구리 산화물 박막은 가열된 기판을 하나 이상의 휘발성 금속 아미디네이트 화합물 및 산소-포함 기체 또는 증기의 증기들을 포함하는 기체 혼합물에 노출하여 마련된다. 예를 들어, 금속 아미디네이트 전구체는 (N,N'-di-sec-부틸-아세트아미디네이토)구리(I) 이량체이다.
임의의 구현예들에서, 금속 산화물은 구리 산화질화물이고 구리 산화질화물 박막은 가열된 기판을 하나 이상의 휘발성 구리 아미디네이트 화합물 및 산소-포함 기체 또는 증기 및 암모니아 또는 하이드라진과 같은 질소-포함 증기들을 포함하는 기체 혼합물에 노출하여 마련된다. 예를 들어, 금속 아미디네이트 전구체는 (N,N'-di-sec-부틸-아세트아미디네이토)구리(I) 이량체이다.
하나 이상의 구현예들에서, 금속 박막은 바로-형성된(as-formed) 금속 산화물 또는 산화질화물 박막을 환원시켜 마련된다. 예를 들어, 구리 산화물 및 구리 산화질화물 박막들은 수소 플라즈마, 이수소기체 또는 포름산 증기와 같은 환원제에 의해 환원되어 구리 금속 박막을 얻을 수 있다.
하나 이상의 구현예들에서, 휘발성 금속(I) 아미디네이트 [M(I)(AMD)]X( x는 2, 3)는 기상 증착에 대한 전구체이다. 이러한 화합물의 일부는 아래의 이량체 구조식 4를 갖는다.
Figure 112016019298066-pat00004
4
식에서, Rl,R2,R3,R1',R2' 및 R3'은 하나 이상의 비금속 원자로 이루어진 군이다. 임의의 구현예들에서, Rl,R2,R3,R1',R2' 및 R3'은 서로 다를 수 있고, 수소, 알킬, 아릴, 알케닐, 알키닐, 트리알킬실릴 또는 플루오르알킬 군으로부터 선택된다. 임의의 구현예들에서, Rl,R2,R3,R1',R2' 및 R3이 각각 독립적으로 1 내지 4개의 탄소 원자를 함유하는 알킬 또는 플루오르알킬 또는 실릴알킬 군이다. 적합한 1가 금속으로는 구리(I), 은(I), 금(I) 및 이리듐(I)이 있다. 하나 이상의 구현예들에서, 금속 아미디네이트는 구리 아미디네이트이고, 이 구리 아미디네이트는 구조식(1)에서 Rl,R2,R1',R2'를 이소프로필 군으로서 선택하고 , R3 및 R3'는 메틸 군으로 선택한 N,N'-디이소프로필아세트아미디네이트(N,N'-diisopropylacetamidinate)로 이루어진다. 하나 이상의 구현예들에서, 금속(I) 아미디네이트는 일반식[M(I)(AMD)]3 을 가진 트리머이다. 부가적으로, 화합물은 중성 리간드들을 포함할 수 있다. 다수의 중성 리간드들이 알려져 있다. 예시적인 중성 리간드들은 예를 들어, 알켄, 알킨, 포스핀 및 CO를 포함한다.
하나 이상의 구현예들에서, 이가의 금속 전구체는 휘발성 금속(II) 비스-아미디네이트[M(II)(AMD)2]x(여기서, x는 1, 2)이다. 이러한 화합물들은 단량체 구조식 5를 갖는다.
Figure 112016019298066-pat00005
5
식에서, Rl,R2,R3,R1',R2' 및 R3'은 하나 이상의 비금속 원자로 이루어진 군이다. 하나 이상의 구현예들에서, 이러한 구조의 다이머, 즉[M(II)(AMD)2]2가 이용될 수 있다. 임의의 구현예들에서, Rl,R2,R3,R1',R2' 및 R3'은 독립적으로 수소, 알킬, 아릴, 알케닐, 알키닐, 트리알킬실릴 또는 플루오르알킬 군으로부터 선택된다. 임의의 구현예들에서, Rl,R2,R3,R1',R2' 및 R3'이 각각 독립적으로 1 내지 4개의 탄소 원자를 함유하는 알킬 또는 플루오르알킬 또는 실릴알킬 군이다. 적합한 2가 금속으로는 코발트, 철, 니켈, 망간, 루테늄, 아연, 티타늄, 바나듐, 크롬, 유로피움, 칼슘, 스트론튬, 바륨, 주석 및 납이 있다. 하나 이상의 구현예들에서, 금속(II) 아미디네이트는 코발트 아미디네이트이고, 이 코발트 아미디네이트는 구조식 5에서 Rl,R2,R1',R2' 를 이소프로필 군으로서 선택하고 , R3 및 R3'는 메틸 군으로 선택한 코발트(II) 비스 (N,N'-디이소프로필아세트아미디네이트)로 이루어진다. 부가적으로, 화합물은 중성 리간드들을 포함할 수 있다. 다수의 중성 리간드들이 알려져 있다. 예시적인 중성 리간드들은 예를 들어, 알켄, 알킨, 포스핀 및 CO를 포함한다.
하나 이상의 실시예에서, 3가 금속의 기상 증착에 대한 전구체는 휘발성 금속(III) 트리스-아미디네이트, M(III)(AMD)3를 포함한다. 전형적으로, 이러한 화합물들은 단량체 구조식 6을 갖는다.
Figure 112016019298066-pat00006
6
식에서, R1, R2, R3, R1', R2', R3', R1", R2" 및 R3"은 하나 이상의 비금속 원자로 이루어진 군이다. 임의의 구현예들에서, R1, R2, R3, R1', R2', R3', R1", R2" 및 R3" 이 독립적으로 수소, 알킬, 아릴, 알케닐, 알키닐, 트리알킬실릴, 할로겐 또는 부분적으로 플루오르화된 알킬 군으로부터 선택될 수 있다. 임의의 구현예들에서, R1, R2, R3, R1', R2', R3', R1", R2" 및 R3"이 각각 독립적으로 1 내지 4개의 탄소 원자를 함유하는 알킬 군이다. 적합한 3가 금속으로는 란탄늄, 프라세오디늄 및 다른 란탄족 금속, 이트륨, 스칸듐, 티타늄, 바나듐, 니오븀, 탄탈륨, 크롬, 철, 루테늄, 코발트, 로듐, 이리듐, 안티모니 및 비스무스가 있다. 하나 이상의 구현예들에서, 금속(III) 아미디네이트는 란타늄 아미디네이트이고, 이 란타늄 아미디네이트는 구조식 6에서 R1, R2, R1', R2', R1", R2"tert-부틸 군으로서 선택하고, R3, R3', R3"는 메틸 군으로 선택한 란타늄(III) 트리스 (N,N'-di-tert-부틸아세트아미디네이트)로 이루어진다. 부가적으로, 화합물은 중성 리간드들을 포함할 수 있다. 다수의 중성 리간드들이 알려져 있다. 예시적인 중성 리간드들은 예를 들어, 알켄, 알킨, 포스핀 및 CO를 포함한다.
본원에서 사용되는 금속 아미디네이트는 단량체로서 금속과 아미디네이트의 비율은 동일하지만, 단량체 화합물의 "올리고머"로 일컬어지는 화합물에에서의 금속/아미디네이트의 전체 수량은 다양하다. 따라서, 단량체 화합물 M(II)AMD2 올리고머는 [M(II)(AMD)2]X(여기서, x는 2, 3...)을 포함한다. 유사하게, M(I)AMD는 [M(I)AMD]X(여기서, x는 2,3, ...)를 포함한다.
실시예 1
WN 의 CVD, Co4N 및 Cu
기판으로 상부에 SiO2 절연층을 갖는 실리콘 웨이퍼가 사용되었다. 트렌치들 및 홀들은 SiO2 층의 일부 영역에 에칭되었다.
텅스텐 질화물이 약 0.05 torr의 비스(tert-부틸이미도)비스(디메틸아미도)텅스텐(VI)(bis(tert-butylimido)bis(dimethylamido)tungsten(VI)), 0.5 torr의 암모니아 및 0.5 torr의 질소의 증기 혼합물을 390℃의 기판에 1분간 노출시키는 CVD에 의해 증착되었다. 약 2nm 두께의 WN의 확산 배리어가 증착되었다.
코발트 질화물이 0.03 torr의 비스(N-tert-부틸-N'-에틸-프로피온아미디네이토)코발트(II)(bis(N-tert-butyl-N'-ethyl-propionamidinato)cobalt(II)), 0.2 torr의 암모니아, 0.3 torr의 수소 및 0.5 torr의 질소의 증기 혼합물을 180℃의 기판에 4분간 노출시키는 CVD에 의해 증착되었다. 약 2nm 두께의 CoxN를 포함하는 층이 증착되었다. 이 CoxN 재료의 분석을 위해, 더 두꺼운 층들이 유리질 탄소 기판들 상에 증착되고 이후에 러더퍼드 후방산란법{Rutherford Backscattering Analysis(RBS)}에 의해 분석되었다. 코발트 및 질소는 원자 비율 x ~ 4로, 증착 이후의 대기로의 노출에 의한 미량의 산소와 함께 필름 내에서 감지되었다. 유사하게 증착된 약 20nm 두께의 Co4N 필름이 투과 전자 현미경(transmission electron microscope)에 놓였는데, 이는 도 2에 도시된 바와 같이 전자 회절 패턴을 수득하는데 사용된다. 관찰된 회절 고리는 모두 조밀 면심 입방구조(close-packed face-centered cubic structure)에 의해 인덱스(index)될 수 있는데, 코발트 원자들은 구리 금속 내에서 구리 원자들과 동일한 위치를 갖고, 질소 원자들은 구조체 중심에 위치된다. Co4N와 Cu의 일치(coincidence)를 확인하기 위해, 20nm의 Co4N 과 20nm의 Cu의 이중층 필름 또한 전자 회절되었다. 결과적인 전자 회절 패턴이 도 3의 우측절반(패널 3)에 도시되었는데, Co4N 필름 단독의 전자 회절 패턴(1, 도 3의 좌측 상부) 및 Cu2O 층을 포함하는 구리 금속 필름(2, 도 3의 좌측 하부)과 비교된다. 이러한 전자 회절 패턴들 세 개 모두의 우수한 조합(agreement)에 의해 Co4N과 Cu 구조간의 일치가 우수함을 알 수 있다.
구리 씨드층이 약 0.4 torr의 (N,N'-di-sec-부틸-아세트아미디네이토)구리(I) 이량체, 0.8 torr의 수소 및 0.8 torr의 질소의 증기 혼합물을 186 ℃의 기판에 2분간 노출시키는 CVD에 의해 증착되었다. 약 7nm 두께의 구리층이 증착되었다.
기판들의 평면 영역들에서 측정된 이러한 층들의 시트 저항(sheet resistance)은 30 옴/스퀘어(ohms per square)이다. 이러한 씨드층 위에서, 트렌치들 및 홀들의 표면 및 내부에 추가적인 구리의 전기화학적 증착이 알려진 기술을 사용하여 수행될 수 있다. Co4N 층은 산소 및 물의 확산에 대한 우수한 배리어임을 알 수 있다.
비교예
WN 의 CVD, Co 및 Cu
코발트 질화물 대신 코발트 금속이 증착된 것을 제외하고 실시예 1과 동일한 방식으로 수행되었다. 코발트 금속은 실시예 1에서와 같이 미리 형성된 WN 상에 증착되었다. Co는 약 0.03 torr의 비스(N-tert-부틸-N'-에틸-프로피온아미디네이토)코발트(II)(bis(N-tert-butyl-N'-ethyl-propionamidinato)cobalt(II)), 0.5 torr의 수소 및 0.5 torr의 질소의 증기 혼합물을 240 ℃ 의 기판에 20분간 노출시키는 CVD에 의해 만들어진다. 약 7nm 두께의 Co 층이 WN 상에 증착되었다. Co가 증착된 후, 구리가 실시예 1과 같이 증착되었다.
이 층들의 시트 저항은 실시예 1에서 얻어진 층들보다 약 10 배 더 높았다. 이러한 비교는 더 전도성인 구리 씨드층을 결정핵으로 함에 있어서 코발트 금속에 대한 CoxN의 예상외의 이점을 나타낸다.
실시예 2
WN 의 CVD, Co4N+Co3N 및 Cu
CoxN 증착 동안, 0.3 torr의 암모니아, 0.2 torr의 수소를 사용한 것을 제외하고 실시예 1과 동일한 방법으로 수행되었다. 증착은 4분간 수행되었는데, 2nm 두께의 CoxN 층을 생산하기에 충분하다. 동일한 조건하에 생성된 더 두꺼운 필름에 대한 RBS 분석은 질소에 대한 코발트의 비 x가 3 내지 4 사이인 것으로 측정되었다. 전자 회절을 통해 이 필름의 주요 상이 Co4N이고, 일부는 육각형의 Co3N임을 알 수 있다.
구리 씨드층의 증착 이후에, 시트 저항은 실시예 1에서 제조된 층보다 약 2.4배 더 높았다. 이러한 실시예는 Co3N+Co4N 혼합물이 순수 Co보다 더 전도성인 구리 필름을 생산하지만 Co4N 처럼 순수 전도성(pure conductive)은 아님을 알려준다.
실시예 3
WN 의 CVD, Co3N 및 Cu
CoxN 증착 동안, 0.5 torr의 암모니아가 사용되고 수소를 사용하지 않은 것을 제외하고 실시예 1과 동일한 방법으로 수행되었다. 증착은 4분간 수행되었는데, 2nm 두께의 CoxN 층을 생산하기에 충분하다. 동일한 조건하에 생성된 더 두꺼운 필름에 대한 RBS 분석은 질소에 대한 코발트의 비 x가 약 3인 것으로 측정되었다. 전자 회절을 통해 필름의 구조가 육각형의 Co3N임을 알 수 있다.
구리 씨드층의 증착 이후에, 시트 저항은 실시예 1에서 생성된 층보다 약 4 배 더 높았다. 이러한 실시예는 Co3N 순수 Co보다 더 전도성인 필름을 생산하지만 Co4N 와 같은 전도성은 아님을 알려준다.
실시예 4
WN 의 CVD, Co4N 및 Cu
구리층이 (1,1,1,5,5,5-헥사플루오로아세토아세토네이토)구리(I)트리메틸비닐실란((1,1,1,5,5,5-hexafluoroacetoacetonato)copper(I)trimethylvinylsilane)으로부터 증착된 것을 제외하고 실시예 1과 동일한 방법으로 수행되었다. 구리 씨드층이 약 0.4 torr의 (1,1,1,5,5,5-헥사플루오로아세토아세토네이토)구리(I)트리메틸비닐실란, 1 torr의 수소 및 2 torr의 질소의 증기 혼합물을 100 ℃ 의 기판에 30초간 노출시키는 CVD에 의해 증착되었다. 약 7nm 두께의 구리층이 증착되었다.
이러한 실시예들에 증착된 구리층들은 코발트 질화물에 대한 강한 접착력을 나타낸다. 본 발명이 속하는 분야에 알려진 방법들에 의해 부가적인 구리가 이러한 구리 박막층 상에 전기도금될 수 있다. 전기도금된 구조들은 마이크로전자공학 소자들에 대한 배선들을 제공하기 위해 연마될 수 있다.
실시예 5
비스(N-tert-부틸-N'-에틸-프로피온아미디네이토)코발트(II)(bis(N-tert-butyl-N'-ethyl-propionamidinato)cobalt(II))의 합성이 후술하는 네 가지 반응에 의해 실시되었다. 모든 반응들 및 조작들이 순수 질소 대기하에서 비활성의 대기 박스(inert atmosphere box) 또는 표준 쉬링크 기법들(Schlenk techniques)을 사용하여 수행되었다. 테트라하이드로퓨란(THF), 메틸렌클로라이드(CH2Cl2) 및 펜텐이 혁신 기술(Innovative Technology) 용매 정제 시스템을 사용하여 건조되었고 4Å 분자체(molecular sieves)로 보관되었다. 부틸리튬, tert-부틸 클로라이드, 에틸아민, 프로피오니트릴, CoCl2 및 FeCl3이 리시브(received)로서 사용되었다.
(a) tert-부틸 클로라이드, 에틸아민 및 프로피오니트릴과 염화철을 커플링하여 N-tert-부틸-N'-에틸-프로피온아미딘(N-tert-butyl-N'-ethyl-propionamidine)을 합성하였다.
Figure 112016019298066-pat00007
0.30 mol(50g)의 무수 FeCl3를 250 mL의 건조 CH2Cl2에 분산시켰다. 2분후에, 용액을 -40℃로 냉각시키고 21.4 mL(0.30 mol)의 무수 프로피오니트릴(propionitrile)을 마그네틱 스터링(stirring)하며 한 번에 혼합하였다. 갈색 오커(ocher)색의 침전이 수 분내 형성되었다; 이는 N-tert-부틸아세토니트릴리움 테트라클로로페레이트(N-tert-butylacetonitrilium tetrachloroferrate)인 것으로 추정된다. 반응 매개물은 -78℃로 유지되었다. 에틸아민이 스터링된 반응 혼합물내에 응축되었다(13.5g, 0.30mol); 뒤이어 발열 반응이 일어났다. 주변 온도만큼 따뜻해지도록 스터링을 계속하였다. 이후에 -10℃로 냉각시키고 얼음 배쓰에서 스터링된 5M 의 NaOH 0.25L에 부었다. 결과적인 혼합물은 CHCl3로 두 차례 추출되었다. 유기상이 100ml의 물로 두 차례 세척되었다. 유기 용액을 MgSO4로 건조시키고, 증발시켜 밝은 노란색의 액체를 얻었다. 크루드(crude)한 아미딘이 수득되었고, 증류(40℃/0.06 torr)에 의해 정제되어 무색의 액체를 얻었다. 수득량 38 g, 81 %. 1H NMR (CDCl3, 25℃, ppm): 1.0-1.1 (2t, 6H, CH2CH3), 1.30 (s, 9H, C(CH3)3), 2.03 (q, 2Η, CCH2CH3), 3.16 (q, 4H, NCH2CH3).
(b)N-tert-부틸-N'-에틸-프로피온아미딘(N-tert-butyl-N'-ethyl-propionamidine)과 부틸리튬 및 코발트(II) 클로라이드의 반응에 의한 비스(N-tert-부틸-N'-에틸-프로피온아미디네이토)코발트(II)(bis(N-tert-butyl-N'-ethyl-propionamidinato)cobalt(II))의 합성
Figure 112016019298066-pat00008
-78℃에서 부틸리튬(1.6M 헥산, 81mㅣ, 0.13 mol)이 0.2L의 THF내의 N-tert-부틸-N'-에틸-프로피온아미딘(N-tert-butyl-N'-ethyl-propionamidine) 용액에 적가되었다. 혼합물을 실온까지 따뜻하게 하고 4시간 동안 스터링하였다. 이후에 결과 용액이 실온에서 0.1L THF내의 염화 코발트(II), CoCl2, (8.44 g, 0.065 mol) 용액에 첨가되었다. 반응 혼합물이 질소 기체하에서 12시간 동안 스터링되었다. 모든 증기들이 이후에 환원 압력하에서 제거되고 결과 고체물을 펜탄으로 추출하였다. 펜탄 추출물이 유리 프리트(glass frit)상의 셀라이트 패드(pad)로 필터링 되었다. 펜탄이 환원 압력하에서 제거되어 다크 그린색의 오일을 얻었다. 순수한 다크 그린색 액체 화합물이 90℃(30 m Torr)에서 정제하여 얻어졌다. 수득량, 34 g, 71 %. mp: -17 0C. 1H NMR (C6D6, 25℃, ppm): -100.7 (br, 3H), -30.6 (br, 9H), 86.7 (br, 3H), 248.5 (br, 2H), 268.8 (br, 2H). Anal. Calcd for CoC18H38N4: C, 58.52; H, 10.37; N, 15.16. Found: C, 58.36; H, 10.66; N, 14.87.
실시예 6
코발트 산화물의 CVD
기판 온도 200℃에서 비스(N-tert-부틸-N'-에틸-프로피온아미디네이토)코발트(II), 수증기 및 질소 가스의 기체 혼합물을 CVD하여 코발트(II) 산화물, CoO 필름을 제조하였다.
실시예 7
구리 산화물의 CVD 및 Cu 씨드층의 형성
기판 온도 140℃에서 0.4 torr의 (N,N'-di-sec-부틸-아세트아미디네이토)구리(I)((N,N'-di-sec-butyl-acetamidinato)copper(I)) 이량체, 4 torr의 수증기 및 4 torr의 질소 기체의 증기 혼합물을 CVD 하여 구리(I) 산화물, Cu2O 필름을 제조하였다. Cu2O는 환원 공정 동안 필름 및 기판의 온도를 약 50℃까지 증가시키기에 충분히 강한 수소 플라즈마로 일분간 환원시켜 구리 금속으로 전환될 수 있다.
실시예 8
구리 산화질화물의 CVD 및 Cu 씨드층의 형성
기판 온도 160℃에서 0.4 torr의 (N,N'-di-sec-부틸-아세트아미디네이토)구리(I)((N,N'-di-sec-butyl-acetamidinato)copper(I)) 이량체, 3 torr의 수증기, 1 torr의 암모니아 기체 및 4 torr의 질소 기체의 증기 혼합물을 CVD 하여 구리(I) 산화물 필름을 제조하였다. RBS 분석에 의하면 조성은 약 Cu0.7O0.2N0.1 이다. 구리 산화질화물 필름은 환원 공정 동안 필름 및 기판의 온도를 약 50℃까지 증가시키기에 충분히 강한 수소 플라즈마로 일분간 환원시켜 구리 금속 필름으로 전환될 수 있다. 구리 금속 필름은 예외적으로 매끄럽고, RMS 조도가 약 0.5nm이다.
실시예 9
철의 CVD
기판 온도 230℃에서 비스(N-tert-부틸-N'-에틸-프로피온아미디네이토)철(II), 수소 및 질소 기체의 증기 혼합물을 CVD하여 금속 철의 필름을 제조하였다.
실시예 10
철 질화물의 CVD
기판 온도 180℃에서 비스(N-tert-부틸-N'-에틸-프로피온아미디네이토)철(II), 수소 및 질소 기체의 증기 혼합물을 CVD하여 전기적으로 전도성인 철 질화물, Fe3N의 필름을 제조하였다.
실시예 11
철 산화물의 CVD
기판 온도 150℃에서 비스(N-tert-부틸-N'-에틸-프로피온아미디네이토)철(II), 0.5 torr의 수증기 및 1.5 torr의 질소 기체의 증기 혼합물을 CVD하여 철(II) 산화물, FeO의 필름을 제조하였다.
*실시예 12
망간의 CVD
기판 온도 400℃에서 0.03 torr의 (N,N'-디이소프로필아세트아미디네이토)망간(II), 1 torr의 수소 및 1 torr의 질소 기체의 증기 혼합물을 CVD 하여 전기적으로 전도성인 망간 금속의 필름을 제조하였다. 고유저항은 390μΩ·cm이다.
실시예 13
망간 산화물의 CVD
기판 온도 160℃에서 0.03 torr의 (N,N'-디이소프로필아세트아미디네이토)망간(II), 0.5 torr의 수증기 및 1.5 torr의 질소 기체의 증기 혼합물을 CVD 하여 망간(II) 산화물, MnO의 필름을 제조하였다.
실시예 14
망간 질화물의 CVD
기판 온도 200℃에서 0.03 torr의 (N,N'-디이소프로필아세트아미디네이토)망간(II), 0.5 torr의 암모니아 및 1.5 torr의 질소 기체의 증기 혼합물을 CVD 하여 전기적으로 전도성인 망간(II) 질화물, Mn3N2의 필름을 제조하였다.
실시예 15
바나듐 산화물의 CVD
기판 온도 250℃에서 트리스(N,N'-디이소프로필아세트아미디네이토)바나듐(III), 수증기 및 질소 기체의 증기 혼합물을 CVD 하여 전기적으로 전도성인 바나듐(III) 산화물, V2O3의 필름을 제조하였다.
실시예 16
이트륨 산화물의 CVD
기판 온도 280℃에서 트리스(N,N'-디이소프로필아세트아미디네이토)이트륨(III), 수증기 및 질소 기체의 증기 혼합물을 CVD 하여 전기적으로 절연성인 이트륨(III) 산화물, Y2O3의 필름을 제조하였다.
실시예 17
구리 산화질화물의 증착
CuON 의 증착이 36mm의 내부 직경(ID)을 갖는 튜브-로(tube-furnace) 유형의 반응기에서 행해졌다. 구리(I)N,N'-di-sec-부틸아세트아미디네이트([Cu(sBu-Me-amd)]2)가 Cu 전구체로서 사용되었는데, 이는 N2 수송 기체를 40 sccm으로 버블링하여 수송된다. 버블러 온도는 130℃인데, 녹는점이 77℃이기 때문에 Cu 전구체를 액상으로 유지시킨다. 모든 기체 선들, 버블러 및 밸브들이 오븐에 위치되었는데 이는 우수한 온도 균일성을 유지시킨다. H2O가 산소 소스로 사용되었는데, 이는 어떠한 수송 기체 없이도 실온에서 레저버(reservoir)로부터 증발된다. 수증기의 유동 속도는 니들 밸브(needle valve)에 의해 조절되는데, 이는 측정된 N2 유동 속도에 의한 챔버의 압력 증가와 비교하여 조정된다. NH3는 질소 소스로서 공급되는데, 유동 속도가 질량 유량 제어기(mass flow controller)에 의해 조절된다. 반응 기체들(H2O 및 NH3)의 총 유량 속도는 약 40 sccm에서 유지되었고, NH3 에 대한 H2O 의 비는 값 0:0, 30:10, 20:20, 10:30 또는 0:40로 세팅되었다. 반응 기체들은 반응 튜브(reactor tube)(36 mm ID)에 투입되기 바로 직전에 Cu 전구체 기체와 작은(5mm ID) 튜브 내에서 완전히 혼합된다. 필름들은 총 챔버 압력 8 torr 하에서 140 내지 220℃의 기판 온도로 증착된다. 필름들은 H2 원격 플라즈마에 의해 환원되는데, 기판이 실온에서 50℃ 까지 높은 온도로 가열된다. 토로이달 플라즈마 발생기(toroidal plasma generator)(ASTRON ® i type AX7670, MKS)가 플라즈마 점화를 위한 180 sccm의 Ar 및 환원제로서 작용하는 해리성 여기(dissociative excitation)에 대한 200 sccm의 H2로 공급되었다.
환원 시간은 30 내지 180초로 다양하다. 100nm 열 산화막(thermal oxide)을 갖는 Si 웨이퍼가 기판으로 사용되었다. Ru 이 스퍼터링에 의해 20nm의 두께로 증착되었고 CVD 가 행해지기 이전에 대기에 노출되었다.
바로-증착된 CuON 및 환원된 필름들의 표면 모폴로지는 원자간력 현미경(atomic force microscope)(Asylum MFPSD AFM)에 의해 측정되었다. 증착된 필름들의 두께 및 조성은 2 MeV He+ 러더퍼드 후방산란법을 사용하여 측정되었다. CuON 및 환원 Cu 필름들의 물리적인 두께는 포토-리소그래피 및 희석된 질산에 에칭하여 줄무늬 패턴을 만든 후 AFM에 의해 측정되었다. CuON 및 Cu 필름들은 볼륨비 각각 l(산):40(물) 또는 1 :10으로 탈이온수에 의해 희석된 질산에 의해 에칭되었다. 환원된 Cu 필름들의 고유 저항들은 포-포인트 프로브(four-point probe)(Miller Design & Equipment FPP-5000)에 의해 측정되었다. 미리-증착된 Cu 산화질화물 및 환원된 필름들의 상은 50nm 두께의 Si3N4 멤브레인 TEM 그리드(TED PELLA, INC, Prod No. 21500-10) 기판을 사용한 TEM 회절(JEOL JEL2010 TEM)에 의해 평가되었다.
CuON의 모폴로지는 증착 온도에 의존한다. 필름들은 RMS 조도 < lnm 로 매끄럽다. 가장 매끄러운 필름이 160℃에서 증착되었는데 표면 조도는 Si 기판의 조도보다 약간 더 크다. CuON의 표면 입자 크기는 180℃의 증착 온도까지 약 20 nm로 일정하다.
220℃에서, 필름은 여전히 매끄러운 표면 모폴로지(RMS 조도 1.04nm)를 갖고 약간의 큰 입자들이 관찰되기는 하지만 대체적으로 작은 입자 크기(ca. 40 nm)를 갖는다. 따라서, CuON 씨드층은 우수한 표면 모폴로지로서, 약 140℃ 에서 약 180℃ 및 그 이상의 범위의 온도에서 증착될 수 있다. Cu, O 및 N의 원자 함량은 비정질 탄소 기판들 상에 증착된 필름들에 대한 RBS에 의해 측정된 140, 180 및 200℃에서 비교되었다. 조성은 온도 범위에 따라 많이 변하지 않았으며, CuONx이 이러한 증착 조건에서 안정한 상이라는 것을 나타낸다. 반대로, Cu2O 및 Cu3N과 같은 구리 화합물들의 모폴로지는 증착 온도에 보다 더 민감했다. CuON은 증착 온도에 의해 영향을 덜 받는 것으로 나타나고, 따라서 매끄러운 CuON 필름들이 넓은 공정 창에서 수득되어 균일한 조성 및 모폴로지를 얻을 수 있다.
본 발명이 속하는 기술 분야의 당업자는 본 발명이 기술분야에 기여하는 정신 및 범위를 벗어나지 않는 범위에서 본 발명의 과정에 대하여 다양한 변경 및 첨가를 행할 수 있다. 따라서, 본원에 제공된 보호범위는 본 발명의 범위 내에서 청구항들 및 이들의 모든 동등물들의 내용으로 확장될 수 있다는 것이 이해되어야 한다.

Claims (12)

  1. 삭제
  2. 비스(N-tert-부틸-N'-에틸-프로피온아미디네이토)코발트(II)를 포함하는 휘발성 조성물로부터 화학기상증착에 의해 집적 회로 구조의 배선 구조를 한정하는 기판 상에 컨포멀한(conformal) 다결정질 Co4N 층을 증착하는 단계; 및
    상기 다결정질 Co4N층 위에 구리를 포함하는 전도성 층을 증착하는 단계를 포함하는 기판에 형성된 집적 회로 배선 구조의 제조방법.
  3. 제2항에 있어서, 기체 혼합물은 환원제를 더 포함하는 것을 특징으로 하는 기판에 형성된 집적 회로 배선 구조의 제조방법.
  4. 제3항에 있어서, 환원제는 이수소기체인 것을 특징으로 하는 기판에 형성된 집적 회로 배선 구조의 제조방법.
  5. 제2항에 있어서, 구리-포함 전도성 층의 적어도 일부는 화학기상증착에 의해 증착되는 것을 특징으로 하는 기판에 형성된 집적 회로 배선 구조의 제조방법.
  6. 제5항에 있어서, 구리-포함 전도성 층의 적어도 일부는 전기화학증착에 의해 증착되는 것을 특징으로 하는 기판에 형성된 집적 회로 배선 구조의 제조방법.
  7. 제2항에 있어서, 구리-포함 전도성 층의 적어도 일부는 구리 아미디네이트의 증기를 포함하는 기체 혼합물로부터 증착되는 것을 특징으로 하는 기판에 형성된 집적 회로 배선 구조의 제조방법.
  8. 제7항에 있어서, 상기 구리 아미디네이트는 식 [Cu(AMD)]2 를 갖고, 구조가 하기와 같은 것을 특징으로 하는 기판에 형성된 집적 회로 배선 구조의 제조방법.

    Figure 112017060611398-pat00012

    식에서, M은 구리, Rl,R2,R3,R1',R2' 및 R3'은 수소, 1 내지 4개의 탄소 원자를 함유하는 알킬, 1 내지 4개의 탄소 원자를 함유하는 트리알킬실릴 또는 1 내지 4개의 탄소 원자를 함유하는 플루오르알킬 그룹으로부터 선택된다.
  9. 제7항에 있어서, 기체 혼합물은 산소-포함 화합물이고, 상기 전도성 층을 증착하는 것은 구리 산화물을 증착하여 구리 산화물을 구리 금속으로 환원시키는 단계를 포함하는 것을 특징으로 하는 기판에 형성된 집적 회로 배선 구조의 제조방법.
  10. 제7항에 있어서, 기체 혼합물은 산소-포함 화합물 및 질소-포함 화합물이고, 상기 전도성 층을 증착하는 것은 구리 산화질화물을 증착하여 구리 산화질화물을 구리 금속으로 환원시키는 단계를 포함하는 것을 특징으로 하는 기판에 형성된 집적 회로 배선 구조의 제조방법.
  11. 제10항에 있어서, 구리 산화질화물을 환원제에 노출시키는 단계를 추가로 포함하는 것을 특징으로 하는 기판에 형성된 집적 회로 배선 구조의 제조방법.
  12. 제9항에 있어서, 구리 산화물을 환원제에 노출시키는 단계를 추가로 포함하는 것을 특징으로 하는 기판에 형성된 집적 회로 배선 구조의 제조방법.
KR1020167005357A 2007-04-09 2008-04-09 구리 배선용 코발트 질화물층 및 이의 제조방법 KR101797880B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US92248507P 2007-04-09 2007-04-09
US60/922,485 2007-04-09
US99802307P 2007-10-05 2007-10-05
US60/998,023 2007-10-05
PCT/US2008/059797 WO2009088522A2 (en) 2007-04-09 2008-04-09 Cobalt nitride layers for copper interconnects and methods for forming them

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020097023256A Division KR101629965B1 (ko) 2007-04-09 2008-04-09 구리 배선용 코발트 질화물층 및 이의 제조방법

Publications (2)

Publication Number Publication Date
KR20160030583A KR20160030583A (ko) 2016-03-18
KR101797880B1 true KR101797880B1 (ko) 2017-11-15

Family

ID=39853974

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020097023256A KR101629965B1 (ko) 2007-04-09 2008-04-09 구리 배선용 코발트 질화물층 및 이의 제조방법
KR1020167005357A KR101797880B1 (ko) 2007-04-09 2008-04-09 구리 배선용 코발트 질화물층 및 이의 제조방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020097023256A KR101629965B1 (ko) 2007-04-09 2008-04-09 구리 배선용 코발트 질화물층 및 이의 제조방법

Country Status (8)

Country Link
US (2) US7973189B2 (ko)
EP (2) EP2857549A3 (ko)
JP (3) JP5571547B2 (ko)
KR (2) KR101629965B1 (ko)
CN (2) CN103151335B (ko)
AU (1) AU2008347088A1 (ko)
TW (1) TWI480977B (ko)
WO (1) WO2009088522A2 (ko)

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1909320A1 (en) * 2006-10-05 2008-04-09 ST Microelectronics Crolles 2 SAS Copper diffusion barrier
KR20160027244A (ko) 2006-03-10 2016-03-09 인티그리스, 인코포레이티드 티타네이트, 란타네이트 및 탄탈레이트 유전막의 원자층 증착 및 화학 증기 증착용 전구체 조성물
SG171683A1 (en) * 2006-05-12 2011-06-29 Advanced Tech Materials Low temperature deposition of phase change memory materials
US20090208637A1 (en) * 2006-06-15 2009-08-20 Advanced Technology Materials, Inc. Cobalt precursors useful for forming cobalt-containing films on substrates
KR20120118060A (ko) 2006-11-02 2012-10-25 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
JP5571547B2 (ja) 2007-04-09 2014-08-13 プレジデント アンド フェローズ オブ ハーバード カレッジ 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法
KR20100016477A (ko) * 2007-04-12 2010-02-12 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Ald/cvd용의 지르코늄, 하프늄, 티타늄 및 규소 전구체
WO2009012341A2 (en) * 2007-07-16 2009-01-22 Advancaed Technology Materials, Inc. Group iv complexes as cvd and ald precursors for forming metal-containing thin films
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
KR101458953B1 (ko) 2007-10-11 2014-11-07 삼성전자주식회사 Ge(Ⅱ)소오스를 사용한 상변화 물질막 형성 방법 및상변화 메모리 소자 제조 방법
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
JP5650880B2 (ja) * 2007-10-31 2015-01-07 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 非晶質Ge/Te蒸着方法
US20100279011A1 (en) * 2007-10-31 2010-11-04 Advanced Technology Materials, Inc. Novel bismuth precursors for cvd/ald of thin films
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
JP5820267B2 (ja) * 2008-03-21 2015-11-24 プレジデント アンド フェローズ オブ ハーバード カレッジ 配線用セルフアライン(自己整合)バリア層
US8105937B2 (en) * 2008-08-13 2012-01-31 International Business Machines Corporation Conformal adhesion promoter liner for metal interconnects
US8058728B2 (en) * 2008-09-30 2011-11-15 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
US7727883B2 (en) * 2008-09-30 2010-06-01 Tokyo Electron Limited Method of forming a diffusion barrier and adhesion layer for an interconnect structure
US8330136B2 (en) 2008-12-05 2012-12-11 Advanced Technology Materials, Inc. High concentration nitrogen-containing germanium telluride based memory devices and processes of making
JP2010209425A (ja) * 2009-03-11 2010-09-24 Tokyo Electron Ltd Cu膜の成膜方法および記憶媒体
US8574675B2 (en) * 2009-03-17 2013-11-05 Advanced Technology Materials, Inc. Method and composition for depositing ruthenium with assistive metal species
US8653664B2 (en) 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
JP2012533680A (ja) 2009-07-14 2012-12-27 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高温でのiv族金属含有膜の堆積
TW201124552A (en) * 2009-09-02 2011-07-16 Ulvac Inc Method for forming co film
KR20120046786A (ko) * 2009-09-02 2012-05-10 가부시키가이샤 알박 Co 막의 형성 방법 및 Cu 배선막의 형성 방법
JP5225957B2 (ja) * 2009-09-17 2013-07-03 東京エレクトロン株式会社 成膜方法および記憶媒体
JPWO2011040385A1 (ja) * 2009-09-29 2013-02-28 東京エレクトロン株式会社 Ni膜の成膜方法
CN102859662B (zh) * 2009-10-23 2015-11-25 哈佛大学校长及研究员协会 用于互连的自对准阻挡层和封盖层
US8653663B2 (en) 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US20110124182A1 (en) * 2009-11-20 2011-05-26 Advanced Techology Materials, Inc. System for the delivery of germanium-based precursor
WO2011119175A1 (en) 2010-03-26 2011-09-29 Advanced Technology Materials, Inc. Germanium antimony telluride materials and devices incorporating same
US8361900B2 (en) * 2010-04-16 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
WO2011146913A2 (en) 2010-05-21 2011-11-24 Advanced Technology Materials, Inc. Germanium antimony telluride materials and devices incorporating same
US9177917B2 (en) * 2010-08-20 2015-11-03 Micron Technology, Inc. Semiconductor constructions
TWI550119B (zh) 2010-11-02 2016-09-21 宇部興產股份有限公司 (醯胺胺基烷)金屬化合物、及利用該金屬化合物之含金屬之薄膜之製造方法
WO2012087794A1 (en) * 2010-12-23 2012-06-28 President And Fellows Of Harvard College Vapor source using solutions of precursors in terpenes
US8525232B2 (en) 2011-08-10 2013-09-03 International Business Machines Corporation Semiconductor structure having a wetting layer
WO2013095539A1 (en) * 2011-12-22 2013-06-27 Intel Corporation Chemically altered carbosilanes for pore sealing applications
JP5919882B2 (ja) * 2012-02-27 2016-05-18 宇部興産株式会社 コバルト化合物の混合物、及び当該コバルト化合物の混合物を用いたコバルト含有薄膜の製造方法
US8916483B2 (en) * 2012-03-09 2014-12-23 Soitec Methods of forming semiconductor structures including III-V semiconductor material using substrates comprising molybdenum
JP5842687B2 (ja) * 2012-03-15 2016-01-13 宇部興産株式会社 コバルト膜形成用原料及び当該原料を用いたコバルト含有薄膜の製造方法
US9048294B2 (en) 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
US9076661B2 (en) * 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
JPWO2013191065A1 (ja) * 2012-06-18 2016-05-26 東京エレクトロン株式会社 マンガン含有膜の形成方法
WO2014070682A1 (en) 2012-10-30 2014-05-08 Advaned Technology Materials, Inc. Double self-aligned phase change memory device structure
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US20140206190A1 (en) * 2013-01-23 2014-07-24 International Business Machines Corporation Silicide Formation in High-Aspect Ratio Structures
US9029258B2 (en) * 2013-02-05 2015-05-12 Lam Research Corporation Through silicon via metallization
WO2014124056A1 (en) 2013-02-08 2014-08-14 Advanced Technology Materials, Inc. Ald processes for low leakage current and low equivalent oxide thickness bitao films
TWI609095B (zh) * 2013-05-30 2017-12-21 應用材料股份有限公司 用於氮化錳整合之方法
US9685347B2 (en) * 2013-11-04 2017-06-20 Infineon Technologies Ag Semiconductor device and method for producing the same
US9595469B2 (en) * 2013-11-04 2017-03-14 Infineon Technologies Ag Semiconductor device and method for producing the same
US9997457B2 (en) 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9240374B2 (en) * 2013-12-30 2016-01-19 Globalfoundries Singapore Pte. Ltd. Semiconductor device and method of forming thereof
JP6227440B2 (ja) * 2014-02-24 2017-11-08 東京エレクトロン株式会社 凹部にコバルトを供給する方法
TWI656232B (zh) 2014-08-14 2019-04-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 鉬組成物及其用於形成氧化鉬膜之用途
FR3025396A1 (fr) 2014-09-02 2016-03-04 St Microelectronics Tours Sas Procede de fabrication d'un element de connexion electrique
US9466563B2 (en) 2014-12-01 2016-10-11 Stmicroelectronics, Inc. Interconnect structure for an integrated circuit and method of fabricating an interconnect structure
US9719167B2 (en) 2015-12-31 2017-08-01 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US10347825B2 (en) 2017-02-17 2019-07-09 International Business Machines Corporation Selective deposition and nitridization of bottom electrode metal for MRAM applications
US20180340255A1 (en) * 2017-05-26 2018-11-29 Applied Materials, Inc. Cobalt Oxide Film Deposition
US10731250B2 (en) * 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
CN107195582B (zh) * 2017-07-03 2019-04-12 北方工业大学 一种扩散阻挡层制备方法及铜互连结构
CN107469853B (zh) * 2017-08-23 2019-11-29 中国科学技术大学先进技术研究院 一种Co4N纳米片及其制备方法和应用
CN107768348B (zh) * 2017-09-25 2019-07-12 江苏时恒电子科技有限公司 一种用于铜互联的导电阻挡层材料及其制备方法
KR102376508B1 (ko) 2017-11-16 2022-03-18 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US10658315B2 (en) * 2018-03-27 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution layer metallic structure and method
TW202021046A (zh) * 2018-09-14 2020-06-01 美商應用材料股份有限公司 形成具有嵌入式阻障層的穿孔之方法
CN110970350A (zh) * 2018-09-28 2020-04-07 长鑫存储技术有限公司 包含α-Ta层的扩散阻挡层的制备方法以及复合扩散阻挡层
CN109504950A (zh) * 2019-01-16 2019-03-22 江南大学 一种原子层沉积法制备FexN薄膜的方法
CN113130384A (zh) * 2020-01-16 2021-07-16 中芯国际集成电路制造(天津)有限公司 半导体结构的形成方法
US11817389B2 (en) 2020-03-24 2023-11-14 International Business Machines Corporation Multi-metal interconnects for semiconductor device structures
TWI832407B (zh) * 2022-09-01 2024-02-11 財團法人金屬工業研究發展中心 電漿輔助退火系統及其退火方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH068497B2 (ja) * 1989-03-24 1994-02-02 株式会社ライムズ 立方晶コバルト薄膜の形成方法
GB9315975D0 (en) * 1993-08-02 1993-09-15 Ass Octel Organometallic complexes of gallium and indium
JPH09232435A (ja) * 1996-02-22 1997-09-05 Oki Electric Ind Co Ltd 半導体集積回路
US6133139A (en) * 1997-10-08 2000-10-17 International Business Machines Corporation Self-aligned composite insulator with sub-half-micron multilevel high density electrical interconnections and process thereof
JP3686248B2 (ja) * 1998-01-26 2005-08-24 株式会社日立製作所 半導体集積回路装置およびその製造方法
JPH11354637A (ja) * 1998-06-11 1999-12-24 Oki Electric Ind Co Ltd 配線の接続構造及び配線の接続部の形成方法
US6500750B1 (en) * 1999-04-05 2002-12-31 Motorola, Inc. Semiconductor device and method of formation
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6569699B1 (en) * 2000-02-01 2003-05-27 Chartered Semiconductor Manufacturing Ltd. Two layer mirror for LCD-on-silicon products and method of fabrication thereof
US6479902B1 (en) * 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
JP2002043418A (ja) * 2000-07-24 2002-02-08 Nec Corp 半導体装置およびその製造方法
US20020134684A1 (en) * 2000-10-25 2002-09-26 Shipley Company, L.L.C. Seed layer processes
JP4052623B2 (ja) * 2001-03-15 2008-02-27 株式会社東芝 半導体装置の製造方法
WO2004000779A1 (ja) 2002-06-20 2003-12-31 Sankio Chemical Co., Ltd. フェニルオキソカルボン酸エステル誘導体の製造方法
US7560581B2 (en) 2002-07-12 2009-07-14 President And Fellows Of Harvard College Vapor deposition of tungsten nitride
KR101530502B1 (ko) * 2002-11-15 2015-06-19 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US6962873B1 (en) * 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
US20040215030A1 (en) * 2003-04-22 2004-10-28 Norman John Anthony Thomas Precursors for metal containing films
CN1867404B (zh) * 2003-08-14 2011-06-22 孟山都技术公司 含有过渡金属-碳化物和氮化物的催化剂、它们的制备方法和作为氧化和脱氢催化剂的用途
KR100578104B1 (ko) * 2003-12-16 2006-05-10 한국과학기술원 코발트-질소 박막을 이용한 코발트 다이실리사이드에피층의 형성방법
US7166732B2 (en) * 2004-06-16 2007-01-23 Advanced Technology Materials, Inc. Copper (I) compounds useful as deposition precursors of copper thin films
JP4639686B2 (ja) * 2004-07-27 2011-02-23 Jsr株式会社 化学気相成長材料及び化学気相成長方法
US7193327B2 (en) * 2005-01-25 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure for semiconductor devices
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7816550B2 (en) * 2005-02-10 2010-10-19 Praxair Technology, Inc. Processes for the production of organometallic compounds
JP2006303062A (ja) * 2005-04-19 2006-11-02 Sony Corp 半導体装置の製造方法
WO2007015436A1 (ja) * 2005-08-04 2007-02-08 Tosoh Corporation 金属含有化合物、その製造方法、金属含有薄膜及びその形成方法
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US8022552B2 (en) * 2006-06-27 2011-09-20 Megica Corporation Integrated circuit and method for fabricating the same
WO2008002546A1 (en) * 2006-06-28 2008-01-03 President And Fellows Of Harvard College Metal(iv) tetra-amidinate compounds and their use in vapor deposition
JP5571547B2 (ja) * 2007-04-09 2014-08-13 プレジデント アンド フェローズ オブ ハーバード カレッジ 銅の相互接続体のための窒化コバルト層及びそれらを形成する方法
JP5437594B2 (ja) * 2007-06-05 2014-03-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
US8058728B2 (en) * 2008-09-30 2011-11-15 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
JP5326558B2 (ja) * 2008-12-26 2013-10-30 富士通セミコンダクター株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
CN101687896A (zh) 2010-03-31
CN103151335B (zh) 2016-09-28
TWI480977B (zh) 2015-04-11
JP5890463B2 (ja) 2016-03-22
CN101687896B (zh) 2013-03-27
EP2142682B1 (en) 2014-12-03
JP2014179635A (ja) 2014-09-25
US7973189B2 (en) 2011-07-05
TW200903718A (en) 2009-01-16
WO2009088522A2 (en) 2009-07-16
KR20100016311A (ko) 2010-02-12
JP2013239745A (ja) 2013-11-28
EP2857549A3 (en) 2015-07-15
KR101629965B1 (ko) 2016-06-13
KR20160030583A (ko) 2016-03-18
CN103151335A (zh) 2013-06-12
EP2142682A4 (en) 2011-11-09
JP2010524264A (ja) 2010-07-15
US20080254232A1 (en) 2008-10-16
EP2142682A2 (en) 2010-01-13
JP5571547B2 (ja) 2014-08-13
WO2009088522A3 (en) 2009-12-30
JP6009419B2 (ja) 2016-10-19
EP2857549A2 (en) 2015-04-08
US8461684B2 (en) 2013-06-11
AU2008347088A1 (en) 2009-07-16
US20110233780A1 (en) 2011-09-29

Similar Documents

Publication Publication Date Title
KR101797880B1 (ko) 구리 배선용 코발트 질화물층 및 이의 제조방법
AU2010310750B2 (en) Self-aligned barrier and capping layers for interconnects
US7034169B1 (en) Volatile metal β-ketoiminate complexes
US20130143402A1 (en) Method of forming Cu thin film
JP2014013923A (ja) 気相成長を介して連続的な銅薄膜を形成する方法
JP4649402B2 (ja) 原子層蒸着による銅フィルムの蒸着のための揮発性銅(i)錯体
CN101345210A (zh) 通过气相沉积形成连续铜薄膜的方法
CN1800190A (zh) 挥发性金属β-酮亚胺盐配合物
KR20190072382A (ko) 이치환된 알킨 디코발트 헥사카보닐 화합물들, 이를 제조하는 방법, 및 이의 사용 방법
EP1792907A1 (en) Volatile metal beta-ketoiminate complexes
AU2013204553A1 (en) Self-aligned barrier and capping layers for interconnects

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E90F Notification of reason for final refusal
B701 Decision to grant
GRNT Written decision to grant