KR970004947B1 - 핸들링장치 - Google Patents

핸들링장치 Download PDF

Info

Publication number
KR970004947B1
KR970004947B1 KR1019880011363A KR880011363A KR970004947B1 KR 970004947 B1 KR970004947 B1 KR 970004947B1 KR 1019880011363 A KR1019880011363 A KR 1019880011363A KR 880011363 A KR880011363 A KR 880011363A KR 970004947 B1 KR970004947 B1 KR 970004947B1
Authority
KR
South Korea
Prior art keywords
articulated arm
parallel crank
parallel
crank mechanism
support member
Prior art date
Application number
KR1019880011363A
Other languages
English (en)
Other versions
KR890005856A (ko
Inventor
타다시 미츠이
스스무 사이토오
Original Assignee
도오교오 에레구토론 가부시끼가이샤
이노우에 아키라
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도오교오 에레구토론 가부시끼가이샤, 이노우에 아키라 filed Critical 도오교오 에레구토론 가부시끼가이샤
Publication of KR890005856A publication Critical patent/KR890005856A/ko
Application granted granted Critical
Publication of KR970004947B1 publication Critical patent/KR970004947B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/06Programme-controlled manipulators characterised by multi-articulated arms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • B25J9/1065Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/13Handlers utilizing parallel links
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

내용 없음.

Description

핸들링장치
제1도는 본 발명에서 에칭장치의 진공처리실 및 2개의 예비실을 절결하여 각 예비실 내에 설치된 핸들링 장치를 나타낸 평면도.
제2도는 본 발명에서 사용시의 핸들링장치를 나타내는 평면도.
제3도는 본 발명에서 사용하지 않을 때의 핸들링장치를 나타낸 평면도.
제4도는 본 발명에서 사용하지 않을 때의 핸들링장치를 나타낸 측면도.
제5도는 본 발명에서 핸들링장치의 아암을 구성하는 3단 평행 크랭크 기구의 골격을 나타낸 도면이다.
* 도면의 주요 부분에 대한 부호의 설명
10 : 진공처리실 10a,10b : 개구
12,13 : 예비실 12a, 13a : 개구
14 : 핸들링장치 15 : 웨이퍼
18,19 : 스테이지(stage) 20,21,22,23 : 게이트밸트
31 : 원통형상 베이스부재 32 : 축
33,34,36 : 링크 35,37,38 : 축
39 : 큰풀리 40 : 작은 풀리
41 : 와이어(wire) 42,43,44 : 링크
45 : 축 46a : 축
46b : 나사 47,48 : 작은 풀리
49 : 와이어 50,51 :링크
52 : 지지부재 52a : 웨이퍼 삽입구
53,54 : 축 W : 상호 간격
본 발명은, 반도체 웨이퍼 또는 액정기판(LCD)등 판형상체를 1매씩 반송하기(매엽처리함) 위한 핸들링장치에 것으로서, 특히 작게 절첩할 수 있는 신축이 자유로운 링크기구를 가지는 핸들링장치에 관한 것이다.
IC 또는 LSI등의 반도체 디바이스를 제조하는 공정에 있어서는, 반도체 웨이퍼의 초미세 패턴 형성면이 먼지부착등의 오염을 받지 않도록 하기 위하여 클린룸(Clean room)내에서 반도체 웨이퍼가 취급된다. 클릴룸내에 반도체 웨이퍼를 반송하는 수단으로서는, 벨트 콘베이어방식 또는 메카니컬 핸드방식의 반송장치가 이용된다. 특히 에칭처리 및 이온주입처리 등의 중요한 가공에서는 반도체 웨이퍼를 1매씩 진공처리실로 출입시키기 위하여 전용의 핸들링장치가 사용된다.
매엽처리 전용의 핸들링장치는, 진공처리시간의 단축화 및 초클린도 유지의 요구를 만족하기 위하여, 다음(1) 및 (2)의 성능을 갖는 것이 바람직하다.
(1) 사용하지 않을 때에 있어서의 점유 스페이스가 작고, 또한 사용시에 있어서의 반송거리가 클 것.
(2) 사용시에 있어서의 먼지의 발생량이 적을 것.
근년에, 상기의 성능을 만족할 수 있도록 한 여러 가지의 핸들링장치가 개발되어, 콤팩트하게 또 먼지의 발생량이 적은 핸들링장치가 실용화되고 있다.
일본국 실개소 60-61191호, 특개소 6-183736호, 특개소 61-160949호 공보에, 반도체 웨이퍼용의 핸들링장치가 각각 개시되어 있다. 이들의 핸들링장치는 반송기구에 팬터그래프(pantograph)를 이용한 것이다.
또한, 상기의 핸들링장치를 보다 더 소형화한 것이 일본국 특개소 61-90903호, 특개소 61-87351호, 특개소 61-90887호 공보에 각각 개시되어 있다.
그러나, 종래의 핸들링장치에 있어서는, 사용하지 않을 때의 수납 스페이스를 작게 하기 위하여, 팬터그래프의 아암 길이를 짧게 함과 동시에, 마디수를 감소시키면, 피반송물의 반송 가능 에리어가 좁은 범위로 한정된다. 한편 피반송물의 반송 가능 에리어를 확대하기 위하여, 팬터그래프의 아암길이를 연장함과 동시에 마디수를 증가시키면, 사용하지 않을 때에 있어서의 장치의 수납 스페이스가 커지게 된다.
근년에, 초 LSI 기술로서 집적도가 4M, 16M의 개발이 진행됨에 따라 매엽처리가 요망되고 있다. 이러한 매엽처리장치에 있어서 생산성(Through put)을 향상시키기 위하여 로드 록크기구를 사용하는 것이 관용기술이다. 이 로드 록크기술의 실현에 있어서 요구되는 것은 먼저 발생이 없는 반송기기이다. 제한된 공간을 크게 하는 웨이퍼를 어떻게 반송할 것인가가 해결의 관건이다.
그런데, 핸들링장치를 수납하기 위한 방과, 반도체 웨이퍼를 가공하기 위한 진공처리실은, 게이트밸브에 의해 구획되고, 게이트밸브를 개방시키면 서로 연이어 통하도록 되어 있다. 이로 인해 진공처리실을 진공상태로 하기 위하여 장시간을 필요로 하는 결점이 있다.
또, 종래의 핸들링장치에 있어서는, 그 구동기구에서 무시할 수 없는 양의 먼지가 발생하고, 이것이 핸들링장치의 수납실에서 진공실로 침입하여 진공처리실 내부가 오염된다. 특히 고집적도의 초 LSI를 취급하는 클린룸에서는, 클래스(Class) 10 이상의 초클린도를 요구하기 때문에, 반도체 웨이퍼의 가공에 좋지 못한 점이 발생하였다.
본 발명의 제1목적은, 사용하지 않을 때에 있어서의 수납스페이스가 작고, 또한 피반송물의 반송 가능 에리어가 큰 핸들링장치를 제공하는 데에 있다.
본 발명의 제2목적은, 조작성이 우수함과 동시에, 경량이고 소형으로써, 구동시에 기구에서 발생하는 먼지의 양이 극히 적은 핸들링장치를 제공하는 데에 있다.
본 발명의 형태에 의하면, 선회 가능한 축을 가지는 베이스부와 ; 상기 선회축에 연결된 한끝단부를 포함하는 다관절 아암과; 판형태의 피반송물을 지지하기 위하여, 상기 다관절 아암의 자유끝단부에 착설된 지지부재를 포함하는 지지수단과; 상기 지지수단을 선회시키기 위한 선회수단과; 상기 다관절 아암을 신축시키기 위하여 접합부에서 상기 다관절 아암을 회동시키는 수단을 포함하여 구성되며; 상기 다관절 아암은 제1단, 제2단 및 제3단을 규정하는 3개의 평행 크랭크기구를 포함하여 구성되고, 상기 3개의 평행 크랭크 기구의 각각은 다수개의 링크를 포함하여 구성되며, 상기 평행 크랭크기구의 제1단은 베이스부에 고정되고, 다관절 아암이 수축되었을 때 지지부재의 최대길이가 평행 크랭크기구의 최대길이와 동일하게 되도록 상기 평행 크랭크기구들이 베이스부와 지지부재의 사이에 수납되며, 상기 평행 크랭크기구에 의하여 규정되는 평면들은 상호간에 평행하고, 하나 이상의 풀 리가 상기 다관절 아암의 각 연결부에 장착되며, 상기 풀리들중의 하나는 와이어로부터의 구동력을 받는 것을 특징으로 한다.
이 경우에, 상기 다관절 아암의 마디를 회동시키는 수단은, 톱니바퀴, 체인 및 벨트등을 사용하지 않는 감아거는 전동기구인 것이 바람직하며, 특히 풀리 상호간에 와이어를 감아거는 기구인 것이 바람직하다.
즉, 아암의 마디에 풀리를 각각 착설하고 그중의 적어도 하나의 풀 리가 스테핑 모우터의 구동축에 연결되어 있다.
이 구동력이 전달되는 풀리의 지름이, 다른 풀리의 지름보다 큰 것이 바람직하며, 특히 다른 풀리의 직경에 대하여 구동력을 받아들이는 풀리의 직경의 비율이 2:1인 것이 가장 바람직하다.
또한, 이 경우에 상기 풀리는, 그의 알루미늄 합금표면상에 경질의 알루마이트 피막이 형성되고 4불화수지 피막이 그 위에 형성되도록 만들어지며, 상기 와이어는 4불화수지가 스테인레스강 와이어상에 피막되도록 형성하는 것이 바람직하다. 이와 같은 4불화수지가 코우팅된 부재는, 슬라이드동작 접촉시에 있어서의 먼지 발생량이 적인 이점이 있다.
다관절 아암은 복수단의 평행 링크기구의 조합으로써 구성되어 있다. 이와 같은 다관절 아암을 2단, 3단, 4단, 5단 또는 그 이상의 단수의 평행 크랭크기구를 조합시켜 만드는 것도 가능하지만, 3단 조합에 의하여 구성되는 것이 가장 바람직하다.
이 경우에, 제2단의 평행 크랭크기구의 긴 링크가, 제1단 및 제3kes의 평행 크랭크기구의 긴 링크보다 길고, 특히 제1단 및 제3단의 평행 크랭크기구의 긴 링크와 제2단의 평행 크랭크기구의 긴 링크와의 길이의 비율이 1:2인 것이 바람직하다.
또한, 상기 다관절 아암을 절첩하면 베이스부와 지지수단 사이의 스페이스로 들어가는 것이 바람직하며, 웨이퍼의 지지수단으로서는 전용의 호울더를 사용한다. 또 다관절 아암은 여러 가지의 자세로서 동작시키는 것이 가능하다.
그러나, 동작자세를 굳이 한정하면, 다관절 아암이 수평면내에서 선회하도록 베이스부에 형성되어 있는 것이 바람직하고, 또한 평행 크랭크기구의 각각이 수평면내에서 변위하도록 형성되어 있는 것이 바람직하다.
다음에, 본 발명의 여러 가지 실시예에 대하여, 첨부한 도면에 따라 상세히 설명하면 다음과 같다.
제1도에서, 실리콘 웨이퍼(15)를 1매씩 에칭처리하는 에칭장치가 클린룸내에 설치되어 있다. 에칭장치는 스테이지(19)위의 실리콘 웨이퍼(15)를 진공분위기 하에서 에칭처리하기 위한 진공처리실(10) 및 생산성을 향상시키기 위한 진공처리실(10)에 인접하여 형성되고, 실리콘 웨이퍼(15)를 진공처리실(10)로 출입시키기 위한 2개의 예비실(12),(13)을 가지고 있다. 진공처리실(10)은 진공장치(도시안됨) 및 노광장치(도시않됨)를 구비하고 있다. 각 예비실(12),(13)에는 각각의 핸들링장치(14)가 설치되어 있다. 진공처리실(10)의 대략적인 크기는 세로[370]mm×가로[370]mm×높이[200]mm이다. 또한 각 예비실(12),(13)의 대략적인 크기는 세로300]mm×가로[300]mm×높이[80]mm이다.
또한, 진공처리실(10) 및 예비실(12),(13)은 각각 개구(10a),(10b)로서 서로 연이어 통하고 있다, 이들의 개구(10a),(10b)에 각각 게이트밸브(21),(22)가 형성되어 있다. 또 예비실(12),(13)은 개구(12a),(13a)로서 외부와 연이어 통하고 있고, 이들 개구(12a),(13a)에 각각 게이트밸브(20),(23)가 형성되어 있다.
예비실(12),(13)은 1매의 웨이퍼가 수납되는 크기로 구성되고, 바깥쪽과의 사이에서 웨이퍼가 출입할 때 마다 대기중에 드러나기 때문에 보다 고속으로 소망하는 진공도로 배기할 필요가 있다. 다라서 배기가 소망하는 기간내에 완료되기 위하여는 작은 용량이 요구되어, 핸들링장치(14)의 이동영역은 제한된다.
예비실(12)의 개구(웨이퍼 넣는 구멍)(12a)의 앞쪽에는 스테이지(18)가 형성되어 있고, 로봇핸드(도시안됨)에 의해 웨이퍼(15)가 스테이지(18)위에 얹어지면, 스테이지(18)에 의해 웨이퍼(15)가 자동적으로 얼라이먼트 되도록 되어 있다. 예비실(12)의 핸들링장치(14)는 앞에서 설명한 위치결정대(18)에서 진공처리실(10)까지 웨이퍼(15)를 반입시키기 위한 반입전용의 기구이다. 한편 에비실(13)의 핸들링장치(14)는 처리완료시의 웨이퍼(15)를 진공처리실(10)에서 외부로 반출시키기 위한 반출전용의 기구이다.
각 핸들링장치(14)의 원통형상 베이스부재(31)는, 예비실(12),(13)의 중앙에 형성되어 있다. 베이스부재(31)의 선회는 회전속도 제어수단을 가지는 스테핑 모우터(도시않됨)의 회전축에 연결되어 이루어지며, 스테핑 모우터에 의해 핸들링장치(14)가 베이스부재(31)를 중심으로 소망하는 각도에서 선회하도록 되어 있다. 베이스부재(31)의 중심 및 진공처리실(10)의 스테이지(19) 중심의 상호간의 거리(2L)는 약 [370]mm이다.
핸들링장치(14)의 아암은 3단의 평행운동기구(평행 크랭크기구)로 구성되어 있다. 사용시에 있어서의 아암의 최대 신장은 2L이고, 사용하지 않을 때에 있어서의 아암(절첩된 상태)의 스페이스는 L×W이다. 단 길이(W)는 [50]mm이다.
제2도에 나타낸 바와 같이, 지지부재(52)가 핸들링장치(14)의 아암 앞끝단에 부착되어 있다. 또한 지지부재(52)는 아암에 붙이고 떼기가 가능하게 착설되어 있고, 웨이퍼의 크기에 따라 적절하게 교환할 수 있도록 되어 있다.
다음에, 제5도 및 제2도를 참조하면서, 핸들링장치의 다관절 아암 및 그 구동기구에 대하여 설명한다.
핸들링장치(14)의 다관절 아암은 3단의 평행 크랭크기구에 의해 구성되어 있다. 제1단의 평행 크랭크기구는 제1 내지 제3링크(33),(34),(36) 및 제이스부재(31)에 의해 구성되어 있다. 큰 풀리(39)가 베이스부재(31)에 축(32)과 동심으로 고정되어 있다. 또한 제1링크(33)의 한쪽 끝단도 축(32)에 고정되어 잇다. 이 제1링크(33)와 평행하게 되도록 제2링크(34)의 한쪽 끝단이 베이스부재(31)에 회전운동이 가능하도록 축(35)에 의해 지지되어 있다. 또한 제3링크(36)가 축(37),(38)을 통하여 제1링크(33) 및 제2링크(34)에 회전운동이 가능하게 착설되어 있다. 또한 이들 제1 내지 제3링크(33),(34),(36)는 동일한 평면내에 설치되어 있다.
다음에, 다관절 아암을 구동시키는 기구에 대하여 설명한다.
제1작은 풀리(40)가 축(37)에 고정되어 있다. 와이어(41)가 큰 풀리(39)와 제1작은 풀리(40)에 감겨져 걸려지고, 축(32)의 회전력이 와이어(41)에 의해 작은 풀리(40)에 전달되도록 되어 있다. 큰 풀리(39)와 작은 풀리(40)와의 직경의 비율은 2:1이다.
또한, 이 경우에 제1 및 제2링크(33),(34)의 길이는 각각 L/2 길이이고, 제3링크(36)의 길이 및 축(32),(35)의 상호간의 거리는 각각 W 길이이다. 이와 같이하여 베이스부재(31)[큰 풀리(39)], 제1 내지 제3링크(33),(34),(36)에 의하여 제1단의 평행 크랭크기구가 구성된다.
다음에, 2단째의 평행 크랭크기구에 대하여 설명한다.
2단째의 평행 크랭크기구는 상술한 1단째의 평행 크랭크기구에 겹치도록 형성되어 있다. 제4링크(42)의 한쪽 끝단이 상술한 축(37)에 고정되고, 제5링크(43)의 한쪽 끝단이 상술한 축(38)에 회전운동이 가능하게 착설되어 있다. 또 제6링크(44)가 축(45),(46a)을 통해 제4 및 제5링크(42),(43)사이에 회전운동이 가능하게 연결되어 있다. 제4 및 제5링크(42),(43)의 길이는 각각 L이고, 제6링크(44)의 길이는 제3링크(36)와 같이 W이다. 즉 제3 내지 제6링크(36),(42),(43),(44)에 의해 제2단의 평행 크랭크기구가 구성되어있다. 또 제2작은 풀리(47)가 축(38)에 고정되고, 제3작은 풀리(48)가 축(46a)에 고정되며, 또한 와이어(49)가 제2 및 제3작은 풀리(47),(48)에 감겨져 걸리도록 되어 있다. 제2 및 제3작은 풀리(47),(48)는 상술한 제1작은 풀리(40)와 동일한 것이다.
다음에, 3단째의 평행 크랭크기구에 대하여 설명한다.
3단째의 평행 크랭크기구는 상술한 2단째의 평행 크랭크기구에 겹치도록 형성되어 있다. 3단째의 평행 크랭크기구는 제6링크(44), 제7링크(50), 제8링크(51), 지지부재(52)에 의해 구성되어 있다. 제7링크(50)는 그의 한쪽 끝단이 2개의 나사(46b)로서 상술한 제3풀리(48)에 고정됨과 동시에 그의 다른쪽 끝단이 축(53)에 의해 지지부재(52)에 회전운동이 가능하게 착설되어 있다. 또한 제8링크(51)는 그의 한쪽 끝단이 축(45)에 의해 제6링크(44)에, 다른쪽 끝단이 축(54)에 의해 지지부재(52)에 각각 회전운동이 가능하게 착설되어 있다. 이 경우에 제7 및 제8링크(50),(51)의 길이는 각각 L/2이고, 축(53),(54)의 상호간의 간격은 W이다.
이 경우에 L은 취급되는 웨이퍼의 직경과 대략 동등하다. 예를들어 [8]인치인 웨이퍼를 취급하기 위한 핸들링장치에 있어서는 L을 약[180]mm의 길이로 한다. 또한 W는 L의 1/4 내지 1/2의 범위로 하는 것이 바람직하다.
제3도 및 제4도에 나타낸 바와 같이, 핸들링장치(14)는 그의 아암을 사용하지 않을 때에 절첩되어 작은 스페이스에 수납할 수 있도록 되어 있다. 절첩된 아암이 점유하는 면적은 대략 L×W의 넓이이다. 절첩된 아암은 그의 대부분이 웨이퍼 지지부재(52)의 아래에 가리워져 버리고, 작은 풀리(40),(47),(48)등의 일부가 지지부재(52)에서 노출되는 정도이다. 또한 아암을 구성하는 링크끼리도 위아래도 겹쳐지도록 되어 있다.
상기 평행 크랭크기구 및 그 구동기구를 구성하는 각 링크 및 풀리의 재질은 스테인레스강 또는 알루미늄 합금의 모재(母材)에 4불화수지를 코우팅(소위, 타후람처리)한 것이다. 알루미늄 합금을 모재로 하는 경우에는 4불화수지의 코우팅 전에, 모재 표면에 경질 알루마이트 피막을 형성한다. 또한 와이어(41),(49)에는 스테인레스강 와이어에 4불화수지를 코우팅한 것이다. 또한 링크, 풀리 및 와이어의 재료에 티탄 합금을 채용하여도 좋다. 이와 같은 4불화수지 코우팅재는, 접촉 슬라이드 동작시에 있어서의 먼지 발생량이 무시할 수 있는 정도로 극히 미세한 양이다.
다음에, 상기 핸들링장치에 의하여 실리콘 웨이퍼를 에칭처리실로 출입시키는 경우에 대하여 설명한다.
도시하지 않은 로봇 핸드에 의하여 웨이퍼 카세트로부터 실리콘 웨이퍼(15)를 1매씩 꺼내어 이것을 스테이지(18)위에 일단 얹어 놓는다. 얹어진 웨이퍼(15)를 로봇 핸드 및 센서(도시않됨)에 의해 소망하는 위치로 되도록 얼라이먼트한다. 이와 같이하여 웨이퍼(15)를 스테이지(15)위에서 미리 위치를 결정한 후에, 게이트밸브(20)를 하강시켜 반입 예비실의 개구(12a)를 연다.
핸들링장치(14)의 구동기구의 동작은, 소정의 컴퓨터 소프트프로그래밍에 의하여 컴퓨터 제어되도록 되어 있다. 베이스부재(31)를 선회시켜 지지부재(52)의 웨이퍼 삽입구(52a)를 개구(12a)로 향하게 한다. 다음에 스테핑 모우터로써 축(32)을 회전시켜 핸들링장치(14)의 아암을 신장시킨다. 지지부재(52)를 스테이지(18)위의 웨이퍼(15)를 향하여 직진시켜 웨이퍼(15)를 지지부재(52)에 의해 지지한다. 웨이퍼 지지를 확인한 후에 스테핑 모우터를 역회전시켜서 아암을 오므려, 지지부재(52)에 의하여 웨이퍼(15)를 지지하면서 이것을 예비실(12)로 반입시킨다. 게이트배브(20)를 상승시켜 예비실의 개구(12a)를 닫는다.
이어서, 예비실(12)의 내부압력이 진공처리실(10)의 내부압력과 동등한 정도가 될 때까지, 예비실(12)내의 가스를 진공펌프에 의하여 배기한다. 예비실(12)의 내부압력이 소정 값에 도달하면, 게이트밸브(21)를 하강시켜 예비실(12)과 진공처리실(10)을 연이어 통하게 한다. 베이스부재(31)를 반시계 회전방향으로 90° 선회시켜서 지지부재(52)를 진공처리실(10)로 향하게 한다. 다음에 축(32)을 정회전시켜 아암을 신장시키고, 지지부재(52)에 지지된 웨이퍼(15)를 예비실(12)에서 진공처리실(10)로 반입하고, 지지부재(52)에서 척(19)위로 웨이퍼(15)를 옮긴다. 축(32)을 역회전시켜 아암을 오므려서 지지부재(52)를 예비실(12)로 되돌린다. 게이트밸브(21)를 상승시켜 진공처리실(10)을 예비실(12)로부터 차단한다. 기밀상태로 된 진공처리실(10)내에서 웨이퍼(15)를 에칭처리한다.
에칭처리의 종료후에, 게이트밸브(22)를 하강시켜 미리 진공상태로 된 반출예비실(13)과 진공처리실(10)과를 서로 연이어 통하게 한다. 예비실(13)내의 제2핸들링장치(14)의 아암을 신장시키고, 지지부재(52)에 의해 척(19)위의 웨이퍼(15)를 지지한다. 웨이퍼(15)의 지지가 확인되면, 축(32)을 역회전시켜 아암을 오므려 지지부재(52)에 지지된 웨이퍼(15)를 진공처리실(10)에서 예비실(13)로 반입시킨다. 게이트밸브(22)를 상승시켜 예비실(13)을 진공처리실(10)로부터 차단한다. 베이스부재(31)를 반시계 회전방향으로 90°선회시켜 지지부재(52)를 게이트밸브(23)로 향하게 한다.
게이트밸브(23)를 하강시켜서 예비실(13)을 열고, 축(32)을 정회전시켜 아암을 신장하여 예비실(13)에서 외부로 웨이퍼(15)를 반출한다. 웨이퍼의 반출후에 아암을 오므려 예비실(13)내로 지지부재(52)를 되돌리고, 게이트밸브(23)를 상승시켜 예비실(13)을 기밀로 하고 예비실(13)내의 공기를 배기한다. 이에 따라 예비실(13)이 다음 회의 웨이퍼를 받아들일 수 있는 상태로 된다.
다음에, 핸들링장치(14)의 아암을 신축시켰을 때에, 아암 앞끝단의 지지부재(52)가 직직하는 이유에 대하여 설명한다.
이 핸들링장치(14)의 아암은 3잔의 평행 크랭크기구로서 구성되어 있다. 제1단의 평행 크랭크기구의 아암[제1 및 제2링크(33),(34)]과 제2단의 평행 크랭크기구의 kdka[제4 및 제5링크(42),(43)]과의 길이의 비가 1:2로 설정되어 있다. 또 제1 내지 제3작은 풀리(40),(47),(48)와 큰 풀리(39)와의 직경의 비도 1:2로 설정되어 있다. 이 때문에 축(32)에 의해 직접 구동되는 제1링크(33)의 각도 변위량이 2δ인 경우에, 작은 풀리(40)를 통하여 간접적으로 구동되는 제4링크(42)의 각도 변위량이 δ가 된다. 따라서 제1링크(33)의 앞끝단의 변위량은 2δ×1/2L로 되고, 제4링크(42)의 앞끝단이 변위량은 δ×L로 되기 때문에, 결국 양쪽이 상쇄되어 아암 앞끝단이 궤적은 직선을 그린다.
또한, 상기 아암을 4단 도는 5단 또는 그 이상의 단수의 평행 크랭크기구의 조합으로 하는 것도 가능하다.
상기 실시예의 핸들링장치에 의하면, 사용하지 않을 때의 장치를 L×L×H의 스페이스에 수납할 수가 있어 최대 반송거리를 2L로 할 수 있다. 예를들어 직경이 [8]인치인 웨이퍼 반송용의 핸들링장치에 있어서는 장치의 수납 스페이스가 [310]mm×[310]mm×[80]mm, 최대 반송거리가 [370]mm가 된다. 따라서 웨이퍼 반출 반입용의 예비실의 용적을 작게 할 수가 있어, 진공처리전의 준비시간을 대폭적으로 단축할 수가 있다.
또한, 상기한 실시예에 의하면, 각 링크, 풀리 및 와이어를 각각 4불화수지로 코우팅하고 있기 때문에, 부재 상호의 접촉 슬라이드동작에 의한 먼지의 발생량은 극히 미세한 양이다. 또 와이어는 풀리에 대하여 미끄러지는 것이 아니라 풀리와 함께 움직인다. 이로 인하여 예비실로부터 진공처리실로의 먼지의 침입이 극히 적어서, 진공처리실내의 초클린도를 높은 레벨로 유지할 수가 있다.
또한, 상기한 실시예에 의하면, 컴퓨터 소프트프로그램을 이용하여 핸들링장치의 각종 동작을 콘트롤할 수가 있는 것이므로 실리콘 위이퍼를 무인 반송할 수가 있다.
또한, 상기한 실시예에서는, 핸들링장치에 의하여 실리콘 웨이퍼를 에칭장치의 진공처리실로 출입시키는 경우에 대하여 설명하였으나, 이것에 한정되는 것만이 아니고, 기타 반도체 웨이퍼나 액정기판(CCD)을 여러 가지의 가공장치 및 검사장치로 출입시키는 것도 가능하다.
본 발명에 의하면, 소형,경량이고 또한 콤팩트하게, 보다 큰 반송거리를 얻을 수 있는 핸들링장치를 제공할 수가 있다. 특히 사용하지 않을 때에 있어서의 장치의 점유 스페이스를 종래의 팬터그래프식의 핸들링장치보다도 대폭적으로 작게할 수가 있는 것이어서, 진공처리를 필요로 하는 에칭장치등에 있어서의 반도체 웨이퍼의 반송에 가장 적합하다. 예를들어 아암을 3단의 평행 크랭크기구로 구성한 경우에, 수납상태에 있어서의 장치의 점유 스페이스의 감소율은 약 [35%]이다.
또한, 본 발명의 핸들링장치에 의하면, 구동시에 기구에서 발생하는 먼지의 양이 극히 적으로므로, 초 클린도를 유지할 필요가 있는 가공장치 또는 검사장치, 예를들어 이온 주입장치나 프로우빙장치에 있어서 반도체 웨이퍼를 매엽처리하는 데에 가장 적합하다.

Claims (10)

  1. 선회가능한 축을 가지는 베이스부와; 상기 선회축에 연결된 한끝단부를 포함하는 다관절 아암과; 판형태의 피반송물을 지지하기 위하여, 상기 다관절 아암의 자유끝단부에 착설된 지지부재를 포함하는 지지수단과; 상기 지지수단을 선회시키기 위한 선회수단과; 상기 다관절 아암을 신축시키기 위하여 접합부에서 상기 다관절 아암을 회동시키는 수단을 포함하여 구성되며; 상기 다관절 아암은 제1단, 제2단 및 제3단을 규정하는 3개의 평행 크랭크기구를 포함하여 구성되고, 상기 3개의 평행 크랭크기구의 각각의 다수개의 링크를 포함하여 구성되며, 상기 평행 크랭크기구의 제1단은 베이스부에 고정되고, 다관절 아암이 수축되었을 때 지지부재의 최대길이가 평행 크랭크기구의 최대길이와 동일하게 되도록 상기 평행 크랭크기구들이 베이스부와 지지부재의 사이에 수납되며, 상기 평행 크랭크기구에 의하여 규정되는 평면들은 상호간에 평행하고, 하나 이상의 풀 리가 상기 다관절 아암의 각 연결부에 장착되며, 상기 풀리들중의 하나는 와이어로부터의 구동력을 받는 것을 특징으로 하는 핸들링장치.
  2. 제1항에 있어서, 상기 다관절 아암을 회동시키는 수단은 와이어 감김기구를 포함하여 구성되는 것을 특징으로 하는 핸들링장치.
  3. 제1항에 있어서, 다른 풀리의 직경에 대하여 구동력을 받아들이는 상기 풀리의 직경의 비율이 2:1인 것을 특징으로 하는 핸들링장치.
  4. 제1항에 있어서, 상기 풀리는, 그의 알루미늄 합금표면상에 경질의 알루마이트 피막이 형성되고 4불화수지 피막이 그 위에 형성되도록 만들어지며, 상기 와이어는 4불화수지가 스테인레스강 와이어상에 피막되도록 형성되는 것을 특징으로 하는 핸들장치.
  5. 제1항에 있어서, 상기 제2단의 평행 크랭크기구의 가장 긴 링크의 길이에 대한 상기 제1 및 제3단의 평행 크랭크기구의 각각의 가장 긴 링크의 길이의 비율이 1:2인 것을 특징으로 하는 핸들링장치.
  6. 제1항에 있어서, 상기 평행 크랭크기구의 상기 링크를 구성하는 부재들은 그의 알루미늄 합금표면상에 경질의 알루마이트 피막이 형성되고, 4불화수지 피막이 그 위에 형성되도록 만들어지는 것을 특징으로 하는 핸들링장치.
  7. 제1항에 있어서, 상기 다관절 아암은 수평면상에서 선회 이동하도록 상기 베이스부 상에 장착되는 것을 특징으로 하는 핸들링장치.
  8. 제1항에 있어서, 상기 다관절 아암을 구성하는 상기 평행 크랭크기구는 대응하는 수평면상에 놓여지는 것을 특징으로 하는 핸들링장치.
  9. 제1항에 있어서, 상기 지지부재는 반도체 웨이퍼를 유지하는 것을 특징으로 하는 핸들링장치.
  10. 피처리체가 처리되며, 그의 체적은 가스 및 먼지를 배출하는데 필요한 시간이 감소되도록 되어 있는 진공챔버와; 상기 진공챔버내에서 처리될 피처리체가 반송되는 예비실; 및 선회가능한 축을 가지는 베이스부와; 상기 선회축에 연결된 한 끝단부를 포함하는 다관절 아암과; 판형상의 피반송물을 지지하기 위하여, 상기 다관절 암의 자유끝단부에 착설된 지지부재를 포함하는 지지수단과; 상기 지지수단을 선회시키기 위한 선회수단과; 상기 다관절 아암을 신축시키기 위하여 접합부에서 상기 다관절 아암을 회동시키는 수단을 포함하여 구성되며; 상기 다관절 아암은 제1단, 제2단 및 제3단을 규정하는 3개의 평행 크랭크기구를 포함하여 구성되고, 상기 3개의 평행 크랭크기구의 각각은 다수개의 링크를 포함하여 구성되며, 상기 평행 크랭크기구의 제1단은 베이스부에 고정되고, 다관절 아암이 수축되었을 때 지지부재의 최대길이가 평행 크랭크기구의 최대길이와 동일하게 되도록 상기 평행 크랭크기구들이 베이스부와 지지부재의 사이에 수납되며, 상기 평행 크랭크기구에 의하여 규정되는 평면들은 상호간에 평행하고, 하나 이상의 풀 리가 상기 다관절 아암의 각 연결부에 장착되며, 상기 풀리들중의 하나는 와이어로부터의 구동력을 받으며 상기 예비실내에 위치하는 핸들링장치를 포한하여 구성되는 것을 특징으로 하는 처리장치.
KR1019880011363A 1987-09-10 1988-09-02 핸들링장치 KR970004947B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP226896 1987-09-10
JP22689687 1987-09-10

Publications (2)

Publication Number Publication Date
KR890005856A KR890005856A (ko) 1989-05-17
KR970004947B1 true KR970004947B1 (ko) 1997-04-10

Family

ID=16852284

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019880011363A KR970004947B1 (ko) 1987-09-10 1988-09-02 핸들링장치

Country Status (2)

Country Link
US (1) US5049029A (ko)
KR (1) KR970004947B1 (ko)

Families Citing this family (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1251017B (it) * 1991-05-21 1995-04-28 Ugo Crippa Meccanismo per compiere traiettorie prefissate assimilabili ad ellittiche
JPH051347A (ja) * 1991-06-21 1993-01-08 Furukawa Alum Co Ltd 軽量のv溝プーリー
US5234314A (en) * 1992-01-21 1993-08-10 Riverwood International Corporation Rotary hopper transfer mechanism
US5643366A (en) * 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5588789A (en) * 1995-07-06 1996-12-31 Brooks Automation Load arm for load lock
US5746565A (en) * 1996-01-22 1998-05-05 Integrated Solutions, Inc. Robotic wafer handler
SE511804C2 (sv) * 1996-03-14 1999-11-29 Abb Ab Anordning för relativ förflyttning av två element
WO1997034742A1 (fr) * 1996-03-18 1997-09-25 Komatsu Ltd. Dispositif de commande d'un systeme de transport de pieces
US5765983A (en) * 1996-05-30 1998-06-16 Brooks Automation, Inc. Robot handling apparatus
JP3901265B2 (ja) * 1996-11-26 2007-04-04 大陽日酸株式会社 薄板状基体の搬送方法及び搬送装置
EP0923111B1 (de) * 1997-12-07 2007-05-02 Oerlikon Assembly Equipment AG, Steinhausen Halbleiter-Montageeinrichtung mit einem hin und her geführten Chipgreifer
JP2001096480A (ja) * 1999-09-28 2001-04-10 Tatsumo Kk 水平多関節型産業用ロボット
JP3639764B2 (ja) 2000-02-01 2005-04-20 タツモ株式会社 基板搬送装置
JP3437812B2 (ja) 2000-02-07 2003-08-18 タツモ株式会社 基板搬送装置
JP2002158272A (ja) 2000-11-17 2002-05-31 Tatsumo Kk ダブルアーム基板搬送装置
DE20116653U1 (de) 2001-05-07 2002-01-03 Esec Trading Sa Montageautomat für die Plazierung eines Halbleiterchips als Flipchip auf einem Substrat
JP3614821B2 (ja) * 2002-02-14 2005-01-26 川崎重工業株式会社 ロボット群
US7141144B2 (en) * 2002-12-04 2006-11-28 Albany International Corp. Multi-layer woven seam baseweave having different sized seam attachments
JP4391744B2 (ja) * 2002-12-27 2009-12-24 東京エレクトロン株式会社 移動式プローブカード搬送装置、プローブ装置及びプローブ装置へのプローブカードの搬送方法
KR100471088B1 (ko) * 2003-02-07 2005-03-10 삼성전자주식회사 이송장치
US20060219042A1 (en) * 2005-04-04 2006-10-05 Wen-Chang Tu Blade assembly for transmission of semiconductor chip
US7547897B2 (en) * 2006-05-26 2009-06-16 Cree, Inc. High-temperature ion implantation apparatus and methods of fabricating semiconductor devices using high-temperature ion implantation
DE102007015439A1 (de) * 2007-03-30 2008-10-02 Cae Consulting & Engineering Gmbh Roboterantrieb- steifer Antriebstrang durch Anwendung einer auf 2 Kurbelwellen gelagerten zweifachen Viergelenkkette
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
NL2020044B1 (en) * 2017-12-08 2019-06-19 Vdl Enabling Tech Group B V A planar multi-joint robot arm system
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
FR3082126B1 (fr) * 2018-06-11 2022-10-28 Getinge La Calhene Systeme de transfert pour enceinte etanche comportant un dispositif de connexion etanche avec un volume clos
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7358744B2 (ja) 2019-02-22 2023-10-11 セイコーエプソン株式会社 ロボットシステム、制御装置、および制御方法
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
FR3120559B1 (fr) * 2021-03-15 2023-11-24 Getinge La Calhene Systeme de transfert pour enceinte etanche comportant un dispositif de connexion etanche avec un volume clos
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE738760C (de) * 1940-02-06 1943-08-31 Friedrich Gall Arbeitsarm mit Greiferzangen fuer Tauchkammern
US2865523A (en) * 1956-09-25 1958-12-23 J E Haddock Ltd Tractor attachment
NL300037A (ko) * 1962-11-02
GB1244940A (en) * 1969-03-31 1971-09-02 Aida Tekkosho Kk An article transfer apparatus
US3931381A (en) * 1970-09-04 1976-01-06 The General Tire & Rubber Company Mold release method for polyurethane integral-skin foam
SU446414A1 (ru) * 1972-07-03 1974-10-15 Ленинградский технологический институт холодильной промышленности Устройство дл управлени манипул тором
SU601154A1 (ru) * 1975-06-04 1978-04-05 Karsakov Aleksandr A Манипул тор
SU595224A1 (ru) * 1976-04-22 1978-02-28 Ленинградский технологический институт холодильной промышленности Инерционный конвейер
US4225379A (en) * 1976-12-13 1980-09-30 Asahi Glass Company, Limited Method of laminating plastic film and shaped metal substrates
SU642149A1 (ru) * 1977-06-03 1979-01-15 Предприятие П/Я В-2946 Манипул тор
CH617559GA3 (ko) * 1977-12-29 1980-06-13
US4234150A (en) * 1979-02-02 1980-11-18 Spar Aerospace Limited Mechanical arm assembly
CH637869A5 (de) * 1979-06-19 1983-08-31 Microbo Ag Handhabungseinrichtung, insbesondere fuer industrieroboter.
JPS5656396A (en) * 1979-10-12 1981-05-18 Hiroshi Makino Robot for assembly
DE3465405D1 (en) * 1983-02-14 1987-09-17 Aeronca Electronics Inc Articulated arm transfer device
JPS6061191A (ja) * 1983-09-16 1985-04-08 Toshiba Corp レ−ザマ−キング装置
US4584045A (en) * 1984-02-21 1986-04-22 Plasma-Therm, Inc. Apparatus for conveying a semiconductor wafer
SU1217651A1 (ru) * 1984-04-21 1986-03-15 Проектно-Конструкторское Бюро Министерства Приборостроения,Средств Автоматизации И Систем Управления Г.Чебоксары Манипул тор
US4583907A (en) * 1984-05-18 1986-04-22 Wimberley Ronald J Extensible apparatus
JPS6190903A (ja) * 1984-09-17 1986-05-09 Canon Inc ウエハ搬送用ハンドラ
JPS6190887A (ja) * 1984-09-17 1986-05-09 キヤノン株式会社 ウエハ搬送装置
JPS6187351A (ja) * 1984-09-17 1986-05-02 Canon Inc ウエハ搬送用ハンドラ
JPS61160949A (ja) * 1985-01-08 1986-07-21 Abe Eng Kk ウエ−ハ搬送装置
JPS6265813A (ja) * 1985-09-18 1987-03-25 Hitachi Ltd 試料搬送装置
US4749330A (en) * 1986-05-14 1988-06-07 Hine Derek L Transport mechanism
US4728252A (en) * 1986-08-22 1988-03-01 Lam Research Corporation Wafer transport mechanism

Also Published As

Publication number Publication date
US5049029A (en) 1991-09-17
KR890005856A (ko) 1989-05-17

Similar Documents

Publication Publication Date Title
KR970004947B1 (ko) 핸들링장치
US6077027A (en) Semiconductor manufacturing apparatus for transferring articles with a bearing-less joint and method for manufacturing semiconductor device
JP3204115B2 (ja) ワーク搬送ロボット
JP5199117B2 (ja) ワーク搬送システム
US20050217053A1 (en) Robot arm mechanism
US20040240971A1 (en) Substrate processing apparatus and substrate transferring method
JPH0430447A (ja) 基板の移し換え装置
JPH05160241A (ja) 基板処理装置
US6499936B2 (en) Transfer system
JPH04279043A (ja) ウエハ搬送装置とウエハ搬送方法
JP2010287902A (ja) 異なる保持エンドエフェクタを有する基板搬送装置
JP4294984B2 (ja) 基板搬送装置及び基板処理装置
JP4245387B2 (ja) 基板搬送装置及び基板処理装置
KR100655007B1 (ko) 진공처리장치
JP4222068B2 (ja) 被処理体の搬送装置
KR19980042623A (ko) 카세트반송기구
JPH0630372B2 (ja) 半導体ウエハ処理装置
JP4056283B2 (ja) 被移送体移送装置及びその移送方法
KR100272186B1 (ko) 기판 반송장치
JP2004146714A (ja) 被処理体の搬送機構
JP4199432B2 (ja) ロボット装置及び処理装置
JP2000195923A (ja) 搬送用ロボット、搬送装置、真空チャンバ内搬送装置およびプロセス処理装置
JP3719354B2 (ja) 搬送装置
JPH10581A (ja) ワーク搬送ロボット
JP4116675B2 (ja) 同軸駆動ローダアーム

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110811

Year of fee payment: 15

EXPY Expiration of term