JPH05160241A - 基板処理装置 - Google Patents

基板処理装置

Info

Publication number
JPH05160241A
JPH05160241A JP3347738A JP34773891A JPH05160241A JP H05160241 A JPH05160241 A JP H05160241A JP 3347738 A JP3347738 A JP 3347738A JP 34773891 A JP34773891 A JP 34773891A JP H05160241 A JPH05160241 A JP H05160241A
Authority
JP
Japan
Prior art keywords
substrate
chamber
base
arm
board
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP3347738A
Other languages
English (en)
Other versions
JP2598353B2 (ja
Inventor
Minoru Namiki
実 並木
Nobuyuki Takahashi
信行 高橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Anelva Corp filed Critical Anelva Corp
Priority to JP3347738A priority Critical patent/JP2598353B2/ja
Priority to KR1019920019050A priority patent/KR960005240B1/ko
Priority to TW081108295A priority patent/TW203590B/zh
Priority to US07/979,255 priority patent/US5288379A/en
Publication of JPH05160241A publication Critical patent/JPH05160241A/ja
Application granted granted Critical
Publication of JP2598353B2 publication Critical patent/JP2598353B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/02Conveying systems characterised by their application for specified purposes not otherwise provided for for conveying workpieces through baths of liquid
    • B65G49/04Conveying systems characterised by their application for specified purposes not otherwise provided for for conveying workpieces through baths of liquid the workpieces being immersed and withdrawn by movement in a vertical direction
    • B65G49/0409Conveying systems characterised by their application for specified purposes not otherwise provided for for conveying workpieces through baths of liquid the workpieces being immersed and withdrawn by movement in a vertical direction specially adapted for workpieces of definite length
    • B65G49/0436Conveying systems characterised by their application for specified purposes not otherwise provided for for conveying workpieces through baths of liquid the workpieces being immersed and withdrawn by movement in a vertical direction specially adapted for workpieces of definite length arrangements for conveyance from bath to bath
    • B65G49/0472Conveying systems characterised by their application for specified purposes not otherwise provided for for conveying workpieces through baths of liquid the workpieces being immersed and withdrawn by movement in a vertical direction specially adapted for workpieces of definite length arrangements for conveyance from bath to bath along a non continuous circuit
    • B65G49/0477Conveying systems characterised by their application for specified purposes not otherwise provided for for conveying workpieces through baths of liquid the workpieces being immersed and withdrawn by movement in a vertical direction specially adapted for workpieces of definite length arrangements for conveyance from bath to bath along a non continuous circuit with lifting means for circuit parts
    • B65G49/0481Conveying systems characterised by their application for specified purposes not otherwise provided for for conveying workpieces through baths of liquid the workpieces being immersed and withdrawn by movement in a vertical direction specially adapted for workpieces of definite length arrangements for conveyance from bath to bath along a non continuous circuit with lifting means for circuit parts with lifting means locating at the bath area
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • H01L21/203
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Manipulator (AREA)

Abstract

(57)【要約】 【目的】 基板搬送装置の基板ホルダ−を1台にして
も、二つのゲ−トバルブを同時に開くことがなく、かつ
生産性も低下させない。 【構成】 処理済みの基板を新しい基板と交換するに
は、まず、基板搬送室8内の搬送ロボット14でロ−ド
ロック室7から基板を取り出して、第1の保持ステ−ジ
12に載せておく。ベ−ス11を回転させてから、処理
室6のゲ−トバルブ6aを開いて、処理済みの基板16
を搬送ロボット14の基板ホルダ−19で取り出して、
第2の保持ステ−ジ13に載せ、第1の保持ステ−ジ1
2上の新しい基板を基板ホルダ−19でつかんで、処理
室6に搬入する。その後、ゲ−トバルブ6aを閉じて、
処理室6で成膜を行っている間に、第2の保持ステ−ジ
13上の処理済み基板をロ−ドロック室7に戻す。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、ロ−ドロック室と処理
室の間で基板を搬送する基板搬送装置を備える基板処理
装置に関する。
【0002】
【従来の技術】真空室内で基板上に成膜したり基板上の
膜をエッチングしたりする基板処理装置においては、処
理室を大気にさらさないロ−ドッロックタイプの装置が
普及してきている。図4は、複数の処理室を備える従来
のマルチチャンバ−型のスパッタリング装置の平面断面
図である。この装置は、複数の処理室1と、ロ−ドロッ
ク室2と、基板搬送室3とを備えている。基板搬送室3
には基板搬送装置4がある、この基板搬送装置4によっ
て、ロ−ドロック室2と処理室1との間で、あるいは処
理室1相互の間で、基板を搬送する。
【0003】一般に、処理済みの基板を新しい基板と交
換する作業を考えると、処理室1のゲ−トバルブ1aと
ロ−ドロック室2のゲ−トバルブ2aを開けておいて、
基板搬送装置4により、処理室1から処理済みの基板を
取り出してロ−ドロック室2に戻し、ロ−ドロック室2
から新しい基板を取り出して処理室1に入れる作業とな
る。この場合、処理室1は基板搬送室3を介してロ−ド
ロック室2とも連通可能になり、処理室1の汚染の危険
性が増大する。そこで、二つのゲ−トバルブ1a、2a
を同時には開かないようにするのが好ましい。すなわ
ち、処理室1のゲ−トバルブ1aだけを開けて基板を取
り出して、処理室1のゲ−トバルブ1aを閉じてからロ
−ドロック室2のゲ−トバルブ2aを開けて、ロ−ドロ
ック室2に処理済みの基板を戻す。その後、そのロ−ド
ロック室2から新しい基板を取り出して、ロ−ドロック
室2のゲ−トバルブ2aを閉じる。そして、処理室1の
ゲ−トバルブ1aを再び開けて、新しい基板を処理室1
に搬入する。このようにすれば、二つのゲ−トバルブ1
a、2aが同時に開くことはない。
【0004】しかし、このような手順にすると、基板交
換に非常に時間がかかり、生産性が低下することにな
る。そこで、二つのゲ−トバルブを同時に開くことな
く、しかも短時間に基板を交換するために、搬送ロボッ
トを2台設置することが知られている。図4に示した基
板搬送装置4は、この種のタイプもので、2台の搬送ロ
ボット4a、4bを備えている。この基板搬送装置4で
基板を交換する手順は次の通りである。処理室1で基板
を処理している間に、第1の搬送ロボット4aが新しい
基板5aをロ−ドロック室2から取り出して、基板搬送
室3で待機している。そして、基板処理が完了して処理
室1のゲ−トバルブ1aを開けたら、第2の搬送ロボッ
ト4bが処理済みの基板5bを処理室1から取り出す。
その直後に、第1の搬送ロボット4aが新しい基板5a
を処理室1に搬入してゲ−トバルブ1aを閉じる。そし
て、処理室1で基板の処理をしている間に、第2の搬送
ロボット4bが処理済みの基板5bをロ−ドロック室2
に戻し、同時に第1の搬送ロボット4aがロ−ドロック
室2から新しい基板を取り出す。このような手順によ
り、二つのゲ−トバルブ1a、2aを同時に開くことな
く、生産性を高めることができる。
【0005】
【発明が解決しようとする課題】図4に示すような従来
の基板搬送装置の場合、2台の搬送ロボットを使用する
ことによって、二つのゲ−トバルブを同時に開くことな
く、かつ生産性が低下しないようにしている。しかし、
このような構成にすると、可動部分が増加して発塵が多
くなるという問題がある。また、2台の搬送ロボットを
収容するために基板搬送室の容量を大きくする必要があ
り、その結果、処理室を排気する能力を増やさなければ
ならず、また装置占有面積も大きくなる。
【0006】この発明の目的は、基板ホルダ−を1台に
したにもかかわらず、二つのゲ−トバルブを同時に開く
ことなく、かつ生産性も低下させることのない基板搬送
装置を備える基板処理装置を提供することである。
【0007】
【課題を解決するための手段】第1の発明は、真空排気
可能な少なくとも一つの処理室と、真空排気可能な基板
搬送室と、真空排気可能なロ−ドロック室とを備える基
板処理装置において、ロ−ドロック室と処理室との間で
基板を搬送するための基板搬送装置が基板搬送室に配置
され、前記基板搬送装置は、基板搬送室に対して回転可
能なベ−スと、このベ−スに取り付けられて基板を一時
的に保持できる二つの保持ステ−ジと、前記ベ−スに取
り付けられて基板を搬送することができる単一の搬送ロ
ボットとを有することを特徴としている。
【0008】第2の発明は、第1の発明において、前記
搬送ロボットはベ−スの回転中心と処理室とを結ぶ直線
に沿って基板を移動させることができて、前記二つの保
持ステ−ジは前記直線上においてベ−スの回転中心より
処理室に近い位置と処理室から遠い位置に配置されるこ
とを特徴としている。
【0009】第3の発明は、第2の発明において基板を
直線的に移動させるための構造に関するものであり、前
記搬送ロボットは、ベ−スに対して回転可能な1対の第
1ア−ムと、各第1ア−ムの先端に回転可能に結合され
た1対の第2ア−ムと、これら第2ア−ムの先端に結合
された一つの基板ホルダ−とを有し、第1ア−ムの長さ
と第2ア−ムの長さは等しくて、ベ−スに対する第1ア
−ムの回転角度と第1ア−ムに対する第2ア−ムの回転
角度の比率が1対2となるように構成されていることを
特徴としている。
【0010】
【作用】第1の発明は、二つの保持ステ−ジと単一の搬
送ロボットを利用して、同時に二つのゲ−トバルブを開
けることなく、迅速に基板を交換することができる。処
理済みの基板を交換する場合は、処理室のゲ−トバルブ
を開けたら、搬送ロボットにより処理済みの基板を取り
出して、これを第1の保持ステ−ジに載せる。そして、
同じ搬送ロボットを利用して、第2の保持ステ−ジに載
せてある新しい基板を処理室に搬入し、ゲ−トバルブを
閉じる。そして、処理室で基板を処理している間に、第
1の保持ステ−ジに載せてある処理済みの基板を、搬送
ロボットによってロ−ドロック室に戻し、新しい基板を
ロ−ドロック室から取り出して、第2の保持ステ−ジに
載せる。このようにして、単一の搬送ロボットにより短
時間で基板が交換できる。
【0011】第2の発明は、搬送ロボットにより基板を
直線方向に移動させるようにしたものであり、かつ、ベ
−スの回転中心よりも処理室に近い位置と処理室から遠
い位置に二つの保持ステ−ジを配置してある。処理室や
ロ−ドロック室のゲ−トバルブを開けている間の基板交
換作業の際は、基本的に基板は直線運動だけさせること
になり、基板の移動は迅速に行える。この場合、もしベ
−スの回転中心と処理室の間の空間に二つの保持ステ−
ジを配置するような構成であると、ベ−スの回転中心か
ら処理室までの距離が遠くなり、基板搬送機構の占有面
積が大きくなるという問題がある。本発明では、ベ−ス
の回転中心の前後に保持ステ−ジを配置したことによ
り、基板搬送装置の占有面積が少なくて済む。
【0012】第3の発明は、第1ア−ムと第2ア−ムの
長さを等しくして、かつ、ベ−スに対する第1ア−ムの
回転角度と第1ア−ムに対する第2ア−ムの回転角度の
比率を1対2にすることによって、基板ホルダ−の直線
運動を可能にしたものである。
【0013】
【実施例】図1は本発明の一実施例の平面断面図であ
る。この装置は、複数の処理室を備えるマルチチャンバ
−型のスパッタリング装置である。このスパッタリング
装置は、4個の処理室6と、2個のロ−ドロック室7
と、基板搬送室8とを備えている。処理室6とロ−ドロ
ック室7と基板搬送室8はそれぞれ独立に真空排気可能
となっている。基板搬送室8の内部には基板搬送装置1
0がある。この基板搬送装置10は、回転するベ−ス1
1と、二つの保持ステ−ジ12、13と、単一の搬送ロ
ボット14とを備えている。
【0014】まず最初に、このスパッタリング装置にお
ける基本的な基板搬送手順を説明する。新しい基板を基
板搬送室8内に待機させるための手順は次の通りであ
る。ロ−ドロック室7を大気にした後、ゲ−トバルブ7
bを開いて、ロ−ドロック室7に基板を搬入する。その
後、ゲ−トバルブ7bを閉じてロ−ドロック室7を排気
する。次に、基板搬送装置10のベ−ス11を回転させ
て、基板搬送室8内の搬送ロボット14がロ−ドロック
室7に対向するようにする。ロ−ドロック室7のゲ−ト
バルブ7aを開き、搬送ロボット14の基板ホルダ−1
9により基板をロ−ドロック室7から取り出して、第1
の保持ステ−ジ12に載せる。ゲ−トバルブ7aは閉じ
る。そして、ベ−ス11を回転させて搬送ロボット14
を処理室6の方向に向ける。以上で、新しい基板が基板
搬送室8内に待機された状態となる。このとき、搬送ロ
ボット14の基板ホルダ−19は基板を保持していない
状態である。
【0015】次に、処理済みの基板を新しい基板に交換
する手順を説明する。処理室6においてスパッタリング
による成膜処理が完了したら、処理室6のゲ−トバルブ
6aを開いて、処理済みの基板16を搬送ロボット14
の基板ホルダ−19で取り出す。取り出した基板16を
第2の保持ステ−ジ13に載せたら、第1の保持ステ−
ジ12上に載っている新しい基板を基板ホルダ−19で
つかんで、処理室6に搬入する。その後、ゲ−トバルブ
6aを閉じる。処理室6で成膜を行っている間に、ベ−
ス11を回転させて、搬送ロボット14をロ−ドロック
室7の方に向ける。その後、ロ−ドロック室7のゲ−ト
バルブ7aを開けて、第2の保持ステ−ジ13に載って
いる処理済みの基板をロ−ドロック7室に搬入する。そ
して、新しい基板をロ−ドロック室7から取り出し、こ
れを第1の保持ステ−ジ12に載せて、ゲ−トバルブ7
aを閉じる。最後に、ベ−ス11を処理室6の方に向け
て再び待機状態となる。
【0016】次に、基板搬送装置10の詳しい構成を説
明する。図2は基板搬送装置10の斜視図である。ベ−
ス11は磁性流体シ−ル装置20によって基板搬送室に
回転可能に取り付けられている。ベ−ス11には、2本
のシャフト21、22が取り付けられている。シャフト
21、22は、ベ−ス11に対して回転可能に、かつ上
下方向に移動可能に取り付けられている。シャフト2
1、22には第1ア−ム23、24が連結され、これに
さらに第2ア−ム25、26が連結されている。シャフ
ト及びア−ム類の構成は左右対称なので、以下の説明で
は片側の構造だけ説明する。シャフト21の上端には第
1ア−ム23の基端が結合されている。第1ア−ム23
の先端は垂直部27になっていて、この垂直部27に第
2ア−ム25が回転可能に結合されている。第2ア−ム
25の先端は、基板ホルダ−19に回転可能に結合され
ている。搬送ロボット14は、ベ−ス11とシャフト2
1、22と第1ア−ム23、24と第2ア−ム25、2
6と基板ホルダ−19とによって構成されている。
【0017】第1ア−ム23の水平部の高さ位置と基板
ホルダ−19の高さ位置との中間の高さ位置に二つの保
持ステ−ジ12、13が配置されている。基板ホルダ−
19は、後述するように、矢印28の方向に直線上を移
動するようになっており、上から見たときに、この直線
軌跡に沿って二つの保持ステ−ジ12、13が配置され
ている。第1の保持ステ−ジ12はベ−ス11の回転中
心よりも後方(基板ホルダ−19の後退方向)にあり、
第2の保持ステ−ジ13はベ−ス11の回転中心よりも
前方(基板ホルダ−19の前進方向)に配置されてい
る。
【0018】基板ホルダ−19は、その下面側に、基板
をチャッキングするための機構を備えている。本実施例
では、電磁アクチュエ−タの作用によって進退する爪を
4個備えており、この爪で基板の側縁を支持するように
なっている。
【0019】図3は搬送ロボット11の動作を説明する
ための平面図である。第1ア−ム23、24と第2ア−
ム25、26の長さは互いに等しく、また、第1ア−ム
23、24に対する第2ア−ム25、26の回転角度
は、常にベ−スに対する第1ア−ム23、24の回転角
度の2倍となるように構成されている。したがって、第
1ア−ム23、24が回転すると、第2ア−ム25、2
6の先端は直線運動をする。基板ホルダ−19は、二つ
の第2ア−ム25、26の先端に結合されているので、
その姿勢を保ちながら直線運動をすることになる。すな
わち、第1ア−ム23、24が図3の紙面の上方に回転
すると基板ホルダ−19は上方に移動し、紙面の下方に
回転すると基板ホルダ−19は下方に移動する。第1ア
−ム23、24が紙面の水平状態よりも下方に下がる
と、基板ホルダ−19は想像線29で示すようにベ−ス
の回転中心30よりも後退することができる。
【0020】なお、第1ア−ム23、24に対する第2
ア−ム25、26の回転角度が、常にベ−スに対する第
1ア−ム23、24の回転角度の2倍となるようにする
ためには、初段歯車と終段歯車の歯数比が2対1となる
ような歯車列を使用したり、同様な直径比を有するプ−
リを利用したベルト伝動装置を使用したりすればよい。
【0021】本発明は、上述の実施例で説明したスパッ
タリング装置に限定されずに、真空中で基板を処理する
タイプのその他の成膜装置やエッチング装置などにも適
用できる。
【0022】
【発明の効果】第1の発明は、二つの保持ステ−ジと単
一の搬送ロボットを利用することにより、同時に二つの
ゲ−トバルブを開けることなく、迅速に基板を交換でき
る。
【0023】第2の発明は、搬送ロボットにより基板を
直線方向に移動させるようにしてあるので、基板の余分
な運動がなく、基板交換作業が迅速に行える。また、二
つの保持ステ−ジをベ−スの回転中心の前後に配置して
あるので、基板搬送装置の占有面積が少なくて済む。
【0024】第3の発明は、第1ア−ムと第2ア−ムの
長さを等しくして、かつ、ベ−スに対する第1ア−ムの
回転角度と第1ア−ムに対する第2ア−ムの回転角度と
の比率を1対2にすることによって、極めて単純な構成
によって、外部からの回転駆動力を基板ホルダ−の直線
運動に変換できる。
【図面の簡単な説明】
【図1】本発明の一実施例の平面断面図である。
【図2】基板搬送装置の斜視図である。
【図3】搬送ロボットの動作原理を示す平面図である。
【図4】従来の装置の平面断面図である。
【符号の説明】
6 処理室 7 ロ−ドロック室 8 基板搬送室 10 基板搬送装置 11 ベ−ス 12、13 保持ステ−ジ 14 搬送ロボット 16 基板 19 基板ホルダ− 23、24 第1ア−ム 25、26 第2ア−ム 30 ベ−スの回転中心

Claims (3)

    【特許請求の範囲】
  1. 【請求項1】 真空排気可能な少なくとも一つの処理室
    と、真空排気可能な基板搬送室と、真空排気可能なロ−
    ドロック室とを備える基板処理装置において、 ロ−ドロック室と処理室との間で基板を搬送するための
    基板搬送装置が基板搬送室に配置され、 前記基板搬送装置は、基板搬送室に対して回転可能なベ
    −スと、このベ−スに取り付けられて基板を一時的に保
    持できる二つの保持ステ−ジと、前記ベ−スに取り付け
    られて基板を搬送することができる単一の搬送ロボット
    とを有することを特徴とする基板処理装置。
  2. 【請求項2】 前記搬送ロボットはベ−スの回転中心と
    処理室とを結ぶ直線に沿って基板を移動させることがで
    きて、前記二つの保持ステ−ジは前記直線上においてベ
    −スの回転中心より処理室に近い位置と処理室から遠い
    位置に配置されることを特徴とする請求項1記載の基板
    処理装置。
  3. 【請求項3】 前記搬送ロボットは、ベ−スに対して回
    転可能な1対の第1ア−ムと、各第1ア−ムの先端に回
    転可能に結合された1対の第2ア−ムと、これら第2ア
    −ムの先端に結合された一つの基板ホルダ−とを有し、
    第1ア−ムと第2ア−ムの長さは等しくて、ベ−スに対
    する第1ア−ムの回転角度と第1ア−ムに対する第2ア
    −ムの回転角度の比率が1対2となるように構成されて
    いることを特徴とする請求項2記載の基板処理装置。
JP3347738A 1991-12-04 1991-12-04 基板処理装置、基板搬送装置及び基板交換方法 Expired - Fee Related JP2598353B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP3347738A JP2598353B2 (ja) 1991-12-04 1991-12-04 基板処理装置、基板搬送装置及び基板交換方法
KR1019920019050A KR960005240B1 (ko) 1991-12-04 1992-10-16 기판처리장치
TW081108295A TW203590B (ja) 1991-12-04 1992-10-19
US07/979,255 US5288379A (en) 1991-12-04 1992-11-20 Multi-chamber integrated process system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3347738A JP2598353B2 (ja) 1991-12-04 1991-12-04 基板処理装置、基板搬送装置及び基板交換方法

Publications (2)

Publication Number Publication Date
JPH05160241A true JPH05160241A (ja) 1993-06-25
JP2598353B2 JP2598353B2 (ja) 1997-04-09

Family

ID=18392252

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3347738A Expired - Fee Related JP2598353B2 (ja) 1991-12-04 1991-12-04 基板処理装置、基板搬送装置及び基板交換方法

Country Status (4)

Country Link
US (1) US5288379A (ja)
JP (1) JP2598353B2 (ja)
KR (1) KR960005240B1 (ja)
TW (1) TW203590B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100457339B1 (ko) * 1997-09-30 2005-01-17 삼성전자주식회사 반도체 웨이퍼 이송암
JP2008013850A (ja) * 2006-07-05 2008-01-24 Toppoly Optoelectronics Corp 蒸着装置とその輸送システム
JP2011192922A (ja) * 2010-03-16 2011-09-29 Hitachi High-Technologies Corp 真空処理装置

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5187115A (en) * 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US6136168A (en) * 1993-01-21 2000-10-24 Tdk Corporation Clean transfer method and apparatus therefor
US5609688A (en) * 1993-05-07 1997-03-11 Fujitsu Ltd. Apparatus for producing semiconductor device
US5372612A (en) * 1993-06-28 1994-12-13 Motorola, Inc. Semiconductor material contacting member
JP2627861B2 (ja) * 1993-10-22 1997-07-09 アネルバ株式会社 Ti−TiN積層膜の成膜方法および装置
US5738767A (en) * 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
JPH07245332A (ja) * 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
US5580419A (en) * 1994-03-23 1996-12-03 Trw Inc. Process of making semiconductor device using focused ion beam for resistless in situ etching, deposition, and nucleation
JPH0874028A (ja) * 1994-09-01 1996-03-19 Matsushita Electric Ind Co Ltd 薄膜形成装置および薄膜形成方法
DE19549045C1 (de) * 1995-12-28 1997-06-05 Jenoptik Jena Gmbh Einrichtung zur Handhabung von scheibenförmigen Objekten
US6054029A (en) * 1996-02-23 2000-04-25 Singulus Technologies Gmbh Device for gripping, holdings and/or transporting substrates
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US6217663B1 (en) * 1996-06-21 2001-04-17 Kokusai Electric Co., Ltd. Substrate processing apparatus and substrate processing method
US6245152B1 (en) * 1996-07-05 2001-06-12 Super Silicon Crystal Research Institute Corp. Method and apparatus for producing epitaxial wafer
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6224312B1 (en) * 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US6451179B1 (en) * 1997-01-30 2002-09-17 Applied Materials, Inc. Method and apparatus for enhancing sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
JPH10329069A (ja) * 1997-03-31 1998-12-15 Daihen Corp 搬送システムの制御方法
DE19715245C2 (de) * 1997-04-12 1999-09-02 Leybold Systems Gmbh Vakuumbehandlungsvorrichtung zum Aufbringen dünner Schichten
JP3936030B2 (ja) * 1997-06-23 2007-06-27 東京エレクトロン株式会社 被処理体の回収方法
US5991510A (en) * 1997-07-10 1999-11-23 Brooks Automation, Inc. Removable memory device to store robotic application and configuration data
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
WO1999016927A1 (de) * 1997-09-29 1999-04-08 Unaxis Trading Ag Vakuumbeschichtungsanlage und kopplungsanordnung
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP4404481B2 (ja) 1998-02-18 2010-01-27 アプライド マテリアルズ インコーポレイテッド 真空処理システム、ウェーハハンドラーおよびエンドエフェクタ
US6057662A (en) * 1998-02-25 2000-05-02 Applied Materials, Inc. Single motor control for substrate handler in processing system
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6719516B2 (en) * 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US6203619B1 (en) * 1998-10-26 2001-03-20 Symetrix Corporation Multiple station apparatus for liquid source fabrication of thin films
US20050229725A1 (en) * 1999-01-17 2005-10-20 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
JP4330703B2 (ja) * 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
US8531678B2 (en) * 1999-07-09 2013-09-10 Nova Measuring Instruments, Ltd. Method and system for measuring patterned structures
US6298685B1 (en) 1999-11-03 2001-10-09 Applied Materials, Inc. Consecutive deposition system
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6899795B1 (en) * 2000-01-18 2005-05-31 Unaxis Balzers Aktiengesellschaft Sputter chamber as well as vacuum transport chamber and vacuum handling apparatus with such chambers
US6420864B1 (en) * 2000-04-13 2002-07-16 Nanophotonics Ag Modular substrate measurement system
US6630053B2 (en) * 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
TW512478B (en) * 2000-09-14 2002-12-01 Olympus Optical Co Alignment apparatus
KR100960773B1 (ko) * 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
US6461085B1 (en) * 2001-03-16 2002-10-08 Toda Citron Technologies, Inc. Sputter pallet loader
WO2002088677A1 (en) * 2001-04-26 2002-11-07 Therma-Wave, Inc. Measurement system cluster
US7089075B2 (en) 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
JP2003017254A (ja) * 2001-06-29 2003-01-17 Sanyo Electric Co Ltd エレクトロルミネッセンス表示装置の製造方法
JP4707271B2 (ja) * 2001-06-29 2011-06-22 三洋電機株式会社 エレクトロルミネッセンス素子の製造方法
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
JP2003017255A (ja) * 2001-06-29 2003-01-17 Sanyo Electric Co Ltd エレクトロルミネッセンス表示装置の製造方法
US6556887B2 (en) * 2001-07-12 2003-04-29 Applied Materials, Inc. Method for determining a position of a robot
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6892618B2 (en) * 2003-08-06 2005-05-17 Chang Chin-Chin Circular sawing machine having a link mechanism
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20050269291A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7467916B2 (en) * 2005-03-08 2008-12-23 Asm Japan K.K. Semiconductor-manufacturing apparatus equipped with cooling stage and semiconductor-manufacturing method using same
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
JP5078243B2 (ja) * 2005-09-02 2012-11-21 東京エレクトロン株式会社 真空処理装置および真空予備室の排気方法
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US20080041716A1 (en) * 2006-08-18 2008-02-21 Schott Lithotec Usa Corporation Methods for producing photomask blanks, cluster tool apparatus for producing photomask blanks and the resulting photomask blanks from such methods and apparatus
US20080101912A1 (en) * 2006-10-26 2008-05-01 Martin Todd W Deposition analysis for robot motion correction
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
JP5835722B2 (ja) 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
US20120088370A1 (en) * 2010-10-06 2012-04-12 Lam Research Corporation Substrate Processing System with Multiple Processing Devices Deployed in Shared Ambient Environment and Associated Methods
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
WO2014035768A1 (en) * 2012-08-30 2014-03-06 Orbotech Lt Solar, Inc. System, architecture and method for simultaneous transfer and process of substrates
KR101993626B1 (ko) * 2012-12-11 2019-06-28 삼성전자 주식회사 특수 기능 레지스터를 포함하는 시스템 온 칩 및 그 동작 방법
DE102017000639A1 (de) * 2017-01-25 2018-07-26 Audi Ag Tauchbehandlungsanlage
CN110029323B (zh) * 2019-05-14 2020-12-29 枣庄睿诺电子科技有限公司 一种真空镀膜设备

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4405435A (en) * 1980-08-27 1983-09-20 Hitachi, Ltd. Apparatus for performing continuous treatment in vacuum
US4433951A (en) * 1981-02-13 1984-02-28 Lam Research Corporation Modular loadlock
US4909701A (en) * 1983-02-14 1990-03-20 Brooks Automation Inc. Articulated arm transfer device
US4666366A (en) * 1983-02-14 1987-05-19 Canon Kabushiki Kaisha Articulated arm transfer device
DE3465405D1 (en) * 1983-02-14 1987-09-17 Aeronca Electronics Inc Articulated arm transfer device
US4553069A (en) * 1984-01-05 1985-11-12 General Ionex Corporation Wafer holding apparatus for ion implantation
US4657620A (en) * 1984-10-22 1987-04-14 Texas Instruments Incorporated Automated single slice powered load lock plasma reactor
US4654106A (en) * 1984-10-22 1987-03-31 Texas Instruments Incorporated Automated plasma reactor
JPS61231738A (ja) * 1985-04-08 1986-10-16 Hitachi Ltd ウエハ搬送機構
US4816116A (en) * 1985-10-24 1989-03-28 Texas Instruments Incorporated Semiconductor wafer transfer method and arm mechanism
US4966519A (en) * 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US4796562A (en) * 1985-12-03 1989-01-10 Varian Associates, Inc. Rapid thermal cvd apparatus
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4764076A (en) * 1986-04-17 1988-08-16 Varian Associates, Inc. Valve incorporating wafer handling arm
US5013385A (en) * 1986-04-18 1991-05-07 General Signal Corporation Quad processor
US5102495A (en) * 1986-04-18 1992-04-07 General Signal Corporation Method providing multiple-processing of substrates
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor
WO1987007309A1 (en) * 1986-05-19 1987-12-03 Novellus Systems, Inc. Deposition apparatus with automatic cleaning means and method of use
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
DE3704505A1 (de) * 1987-02-13 1988-08-25 Leybold Ag Einlegegeraet fuer vakuumanlagen
JPH0691952B2 (ja) * 1987-04-17 1994-11-16 株式会社日立製作所 真空装置
US4877757A (en) * 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
DE58909880D1 (de) * 1988-05-24 2001-12-20 Unaxis Balzers Ag Vakuumanlage
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0858867A3 (en) * 1989-10-20 1999-03-17 Applied Materials, Inc. Robot apparatus
JPH03154791A (ja) * 1989-11-14 1991-07-02 Sumitomo Eaton Noba Kk ロボット用多関節アーム
JPH03155619A (ja) * 1989-11-14 1991-07-03 Anelva Corp 真空処理装置
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5067218A (en) * 1990-05-21 1991-11-26 Motorola, Inc. Vacuum wafer transport and processing system and method using a plurality of wafer transport arms
JPH0521579A (ja) * 1991-07-16 1993-01-29 Fujitsu Ltd 真空処理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100457339B1 (ko) * 1997-09-30 2005-01-17 삼성전자주식회사 반도체 웨이퍼 이송암
JP2008013850A (ja) * 2006-07-05 2008-01-24 Toppoly Optoelectronics Corp 蒸着装置とその輸送システム
JP2011192922A (ja) * 2010-03-16 2011-09-29 Hitachi High-Technologies Corp 真空処理装置
US9343340B2 (en) 2010-03-16 2016-05-17 Hitachi High-Technologies Corporation Vacuum processing apparatus

Also Published As

Publication number Publication date
TW203590B (ja) 1993-04-11
US5288379A (en) 1994-02-22
JP2598353B2 (ja) 1997-04-09
KR930014875A (ko) 1993-07-23
KR960005240B1 (ko) 1996-04-23

Similar Documents

Publication Publication Date Title
JPH05160241A (ja) 基板処理装置
US8016542B2 (en) Methods and apparatus for extending the reach of a dual scara robot linkage
KR970004947B1 (ko) 핸들링장치
US7246985B2 (en) Work-piece processing system
US5180276A (en) Articulated arm transfer device
JP4959053B2 (ja) 基板搬送装置及び基板搬送方法
US5954472A (en) Batch loader arm
KR20010023014A (ko) 다중스테이션 장비용 웨이퍼 핸들러
JP4620214B2 (ja) ウェハ処理装置
US20070018469A1 (en) Contamination-free edge gripping mechanism with withdrawable pads and method for loading/unloading and transferring flat objects
JP4245387B2 (ja) 基板搬送装置及び基板処理装置
KR100655007B1 (ko) 진공처리장치
JP2004288719A (ja) 基板搬送装置及び基板処理装置
TW202112510A (zh) 包括張開的末端執行器的雙機器人以及包括該雙機器人的系統及方法
US7168911B1 (en) Semiconductor handling robot with improved paddle-type end effector
US20010033788A1 (en) Dual multitran robot arm
JP4222068B2 (ja) 被処理体の搬送装置
JP4207530B2 (ja) 被処理体の搬送機構
JP2000260858A (ja) ウェハ搬送用ハンド、及び、これを用いたウェハ搬送方法
JP4199432B2 (ja) ロボット装置及び処理装置
JPH03155619A (ja) 真空処理装置
JP3202137B2 (ja) 基板処理装置のキャリア搬入・搬出装置
JPH10581A (ja) ワーク搬送ロボット
JPH10125764A (ja) 高生産性ウェハ処理装置と方法
US20040018070A1 (en) Compact and high throughput semiconductor fabrication system

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080109

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090109

Year of fee payment: 12

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090109

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100109

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100109

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110109

Year of fee payment: 14

LAPS Cancellation because of no payment of annual fees