JP5330004B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP5330004B2
JP5330004B2 JP2009022520A JP2009022520A JP5330004B2 JP 5330004 B2 JP5330004 B2 JP 5330004B2 JP 2009022520 A JP2009022520 A JP 2009022520A JP 2009022520 A JP2009022520 A JP 2009022520A JP 5330004 B2 JP5330004 B2 JP 5330004B2
Authority
JP
Japan
Prior art keywords
film
etching
processed
silicon
amorphous silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009022520A
Other languages
English (en)
Other versions
JP2010182725A (ja
Inventor
憲二 松崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2009022520A priority Critical patent/JP5330004B2/ja
Priority to US12/635,024 priority patent/US7985682B2/en
Publication of JP2010182725A publication Critical patent/JP2010182725A/ja
Application granted granted Critical
Publication of JP5330004B2 publication Critical patent/JP5330004B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND

Description

本発明は、半導体基板上に微細なパターンを形成することが可能な半導体装置の製造方法に関する。
例えば、半導体装置として集積度の高いメモリを製造する場合、メモリの微細化に伴い、現状のリソグラフィ技術の解像度の限界よりも微細なピッチを有するパターンを形成する方法が考えられている。このような形成方法の一例として、側壁転写プロセスという方法が知られている(例えば、特許文献1参照)。この側壁転写プロセスにおいては、まず、被加工膜の上に第1膜を形成した後、当該第1膜をエッチング処理しパターニングすることで被加工膜の上面を露出させる。
次いで、第1膜とエッチング選択性を有する第2膜を第1膜の上面および側面並びに被加工膜の露出上面に沿って成膜する。そして、第1膜の上面が露出するまで第2膜を異方性エッチングする。この後、芯材としての第1膜を除去することにより、第2膜によるパターンが形成される。
さて、上記した第2膜は、予め第1膜の側面に沿って成膜されるため、その上面が第1膜の側面上部からその外下側に向かって傾斜する非対称な形状に成形される。このため、隣接する2個のパターンの上端部の距離(スペースパターンの開口幅寸法)が狭いものと、広いものとが交互に存在するようになる。
このような形状のパターンをマスクとして、被加工膜をエッチングすると、マスクの上端部間の開口幅寸法が異なるため、マイクロローディング効果により例えばエッチングの深さに差ができてしまい、被加工膜の加工形状差が顕著になるという問題が発生する。
特開2007−43156号公報
本発明は、側壁転写プロセスによりパターンを形成するときに、被加工膜の加工形状差を抑制できるようにした半導体装置の製造方法を提供することを目的とする。
本発明の一態様の半導体装置の製造方法は、被加工膜上に第1膜を形成する第1の工程と、前記第1膜を細幅のパターンと太幅のスペースパターンにパターニングし前記被加工膜の上面を露出させる第2の工程と、前記第1膜の上面および側面並びに前記被加工膜の上面に沿って前記第1膜との間でエッチング選択性を有する第2膜を形成する第3の工程と、前記第2膜をエッチングすることで当該第2膜を前記第1膜の側面に沿って残留させながら前記第1膜の上面および前記被加工膜の上面を露出させる第4の工程と、前記第2膜に対して選択性を有する条件下で前記第1膜をエッチング処理することで前記第1膜の上端が前記第2膜の上端より低く、且つ、前記第1膜の上端が前記被加工膜の上面よりも高い位置になるように形成する第5の工程と、前記第1膜をエッチング処理した後、前記被加工膜との間で選択性を有する条件下で、上面の全面が上に凸となる湾曲形状で且つ幅方向ほぼ中央に最高位置の上端が位置するように前記第2膜の上部をエッチング処理する第6の工程と、前記第2膜の上部をエッチング処理した後、前記第1膜を除去処理する第7の工程と、前記第1膜を除去処理した後、前記第2膜をマスクとして前記被加工膜をエッチング処理する第8の工程とを備えたことを特徴としている。
本発明によれば、側壁転写プロセスによりパターンを形成するときに、被加工膜の加工形状差を抑制することができる。
本発明の第1実施形態を示すもので、NAND型フラッシュメモリ装置のメモリセル領域の一部の電気的構成図 メモリセル領域のレイアウトパターンを示す模式的な平面図 (a)は、図2中A−A線で示す部分のゲート電極構造を示す模式的な縦断面図、(b)は、図2中B−B線で示す部分のゲート電極構造を示す模式的な縦断面図 要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その1) 要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その2) 要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その3) 要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その4) (a)は、要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その5)、(b)は、要部の一製造段階について図2中B−B線に沿う部分を模式的に示す縦断面図(その1) (a)は、要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その6)、(b)は、要部の一製造段階について図2中B−B線に沿う部分を模式的に示す縦断面図(その2) (a)は、要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その7)、(b)は、要部の一製造段階について図2中B−B線に沿う部分を模式的に示す縦断面図(その3) (a)は、要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その8)、(b)は、要部の一製造段階について図2中B−B線に沿う部分を模式的に示す縦断面図(その4) (a)は、要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その9)、(b)は、要部の一製造段階について図2中B−B線に沿う部分を模式的に示す縦断面図(その5) (a)は、要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その10)、(b)は、要部の一製造段階について図2中B−B線に沿う部分を模式的に示す縦断面図(その6) (a)は、要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その11)、(b)は、要部の一製造段階について図2中B−B線に沿う部分を模式的に示す縦断面図(その7) (a)は、要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その12)、(b)は、要部の一製造段階について図2中B−B線に沿う部分を模式的に示す縦断面図(その8) (a)は、要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その13)、(b)は、要部の一製造段階について図2中B−B線に沿う部分を模式的に示す縦断面図(その9) (a)は、要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その14)、(b)は、要部の一製造段階について図2中B−B線に沿う部分を模式的に示す縦断面図(その10) 本発明の第2実施形態を示すもので、(a)は、要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その15)、(b)は、要部の一製造段階について図2中B−B線に沿う部分を模式的に示す縦断面図(その11) (a)は、要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その16)、(b)は、要部の一製造段階について図2中B−B線に沿う部分を模式的に示す縦断面図(その12) (a)は、要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その17)、(b)は、要部の一製造段階について図2中B−B線に沿う部分を模式的に示す縦断面図(その13) (a)は、要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その18)、(b)は、要部の一製造段階について図2中B−B線に沿う部分を模式的に示す縦断面図(その14) 本発明の第3実施形態を示すもので、要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その19) 要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その20) 要部の一製造段階について図2中C−C線に沿う部分を模式的に示す縦断面図(その21)
(第1実施形態)
以下、NAND型のフラッシュメモリ装置の加工工程に適用した場合の本発明の第1実施形態について図1ないし図17を参照しながら説明する。尚、以下の図面の記載において、同一または類似の部分には同一または類似の符号で表している。但し、図面は模式的なものであり、厚みと平面寸法との関係、各層の厚みの比率等は現実のものとは異なる模式的なものとされている。
半導体装置としてのNAND型のフラッシュメモリ装置1は、メモリセルトランジスタTrmが多数マトリクス状に形成されるメモリセルアレイArを備えたメモリセル領域Mと、メモリセルトランジスタTrmを駆動するための周辺回路(図示せず)を備えた周辺回路領域(図示せず)とから構成されている。
図1は、フラッシュメモリ装置におけるメモリセルアレイの一部の等価回路を示している。この図1に示すように、フラッシュメモリ装置1のメモリセルアレイAr内には、NANDセルユニットUCが行列状に構成されている。このNANDセルユニットUCは、2個(複数)の選択ゲートトランジスタTrs1、Trs2と、これらの間に位置して隣接するもの同士でソース/ドレイン領域を共用して直列接続された複数個(例えば32個)のメモリセルトランジスタTrmとから構成されている。
図1中、X方向(ワード線方向、チャネル幅方向)に配列されたメモリセルトランジスタTrmは、ワード線(コントロールゲート線)WLで共通接続されている。また、図1中X方向に配列された選択ゲートトランジスタTrs1は、選択ゲート線SGL1で共通接続されている。さらに、選択ゲートトランジスタTrs2は、選択ゲート線SGL2で共通接続されている。
図2は、メモリセル領域の一部のレイアウトパターンを示している。この図2に示すように、複数のNANDセルユニットUCは、Y方向に延びるSTI(Shallow Trench Isolation)構造の素子分離領域Sbにより互いに分断された活性領域(アクティブエリア)Saに形成されている。選択ゲート線SGL1と活性領域Saとの平面的な交差領域には選択ゲート電極SGDが構成されている。選択ゲート線SGL2と活性領域Saとの平面的な交差領域には選択ゲート電極SGSが構成されている。ワード線WLと活性領域Saとの平面的な交差領域にはメモリセルゲート電極MGが構成されている。
選択ゲート電極SGSのソース側にはソース線コンタクトCSが設けられており、当該ソース線コンタクトCSを介してソース線SL(図1参照)に電気的に接続されている。選択ゲート電極SGDのドレイン側にはビット線コンタクトCBが設けられており、当該ビット線コンタクトCBを介してY方向に延びるビット線BL(図1参照)に電気的に接続されている。
図3(a)および図3(b)は、それぞれ、図2のA−A線に沿う断面構造、図2のB−B線に沿う断面構造を模式的に表している。
図3(a)に示すように、半導体基板2にはその表層にnウェル2aが形成されており、nウェル2aの表層にpウェル2bが形成されており、pウェル2bには素子分離溝3が形成されている。
この素子分離溝3はX方向に離間してY方向に沿って複数形成されており、それぞれの素子分離溝3の内側に素子分離絶縁膜4が形成されている。これにより素子分離領域Sbが構成されている。素子分離絶縁膜4は、例えばHTO(High Temperature Oxide)膜、SOG(Spin On Glass)膜などのシリコン酸化膜を含んで形成されている。素子分離絶縁膜4は、半導体基板2に形成された素子分離溝3の内側に埋込み形成されており、半導体基板2の上面より上方に突出した上部を備えている。この素子分離絶縁膜4はX方向に離間して複数構成されている。隣り合う素子分離絶縁膜4、4間には、半導体基板2のpウェル2bに活性領域Saが形成されており、当該活性領域Saの上面上にゲート絶縁膜5が形成されている。
このゲート絶縁膜5は、例えばシリコン酸化膜により構成されている。ゲート絶縁膜5は、その側面が素子分離絶縁膜4の上部の側面の一部に接触するように設けられており、その上面は素子分離絶縁膜4の上面より下方に位置して形成されている。
このゲート絶縁膜5上には多結晶シリコン層(導電層、半導体層)6が浮遊ゲート電極FGとして構成されている。多結晶シリコン層6は、素子分離絶縁膜4の上部側面に接触する接触面となる下部側面と、当該素子分離絶縁膜4の上面より上方に突出した上部側面とを有する。半導体基板2の表面から上方に突出した素子分離絶縁膜4の上部側面は、ゲート絶縁膜5の側面および多結晶シリコン層6の下部側面と面一に形成されている。
ゲート間絶縁膜7は、素子分離絶縁膜4の上面、多結晶シリコン層6の上部側面、および、多結晶シリコン層6の上面に沿って形成されており、インターポリ絶縁膜、導電層間絶縁膜、電極間絶縁膜として機能する。
ゲート間絶縁膜7は、例えばONO膜(シリコン酸化膜−シリコン窒化膜−シリコン酸化膜)、または、当該ONO膜の上層または/および下層側に窒化膜層が形成された構造によって構成されている。
ゲート間絶縁膜7上には、X方向(ワード線方向)に沿って導電層8が形成されている。この導電層8は、個々のメモリセルトランジスタTrmの制御電極CGを連結するワード線WLとして機能する。導電層8は多結晶シリコン層上に金属によりシリサイド化したシリサイド層を備えた構造により構成されている。尚、導電層8は、例えば多結晶シリコン層単体で形成されていても良い。このようにして、メモリセルトランジスタTrmのゲート電極MGが、ゲート絶縁膜5上に浮遊ゲート電極FG、ゲート間絶縁膜7、制御電極CGの積層ゲート構造によって構成されている。
図3(b)に示すように、メモリセルトランジスタTrmのゲート電極MGは、Y方向に並設されており、各ゲート電極MGは互いに構造的および電気的に分断されている。この分断領域内には層間絶縁膜9が形成されている。この層間絶縁膜9は例えばシリコン酸化膜により形成されている。ゲート電極MGのY方向幅を幅W1とする。
図3(b)に示すように、メモリセルトランジスタTrmのゲート電極MGのY方向両脇には、半導体基板2の表層に位置して拡散層(ソース/ドレイン領域)2cが形成されている。メモリセルトランジスタTrmが、ゲート絶縁膜5およびゲート電極MG並びにソース/ドレイン領域2cを含んで構成されている。
以下、本実施形態の要部の製造方法を中心に説明する。
図3(b)に示すように、メモリセルゲート電極MGが複数Y方向に離間して構成されているが、集積度を向上させるためにはメモリセルゲート電極MGのY方向幅およびY方向間隔を共に微細化する必要がある。そこで本実施形態では、メモリセルゲート電極MGをY方向に複数に分断する場合に、現状のリソグラフィ処理の解像度限界よりも微細なピッチで分断加工して集積度を向上できるようにした実施形態を示す。
図4ないし図7は、それぞれ図2のA−A線及びC−C線に沿う縦断面で互いに共通する一製造段階の断面構造を模式的に示している。
図4に示すように、p型の半導体基板2の表層にnウェル2a、pウェル2bを形成し、チャネル形成用の不純物を注入した後、半導体基板2の上面上にゲート絶縁膜5を熱酸化法により所定膜厚形成する。
次に、図5に示すように、ゲート絶縁膜5上にCVD(Chemical Vapor Deposition)法により非晶質シリコン膜を所定膜厚堆積する。尚、この非晶質シリコンは後の熱処理によって浮遊ゲート電極FGとなる多結晶シリコン層6として形成されるため符号6を付して表している。
次に、図6に示すように、多結晶シリコン層6の上にマスク(図示せず)を形成し、RIE法により多結晶シリコン層6、ゲート絶縁膜5、半導体基板2の表層を異方性エッチングすることで素子分離溝3を形成し、当該素子分離溝3内に素子分離絶縁膜4を埋込み形成し、素子分離絶縁膜4の上面が多結晶シリコン層6の上面より下方で且つゲート絶縁膜5の上面より上方に位置するようにエッチバック処理する。
次に、図7に示すように、例えばONO膜をCVD法により形成することで、多結晶シリコン層6の上面および上部側面、並びに、素子分離絶縁膜4の上面に沿ってゲート間絶縁膜7を形成する。
図8〜図17については、添え字(a)を付した図面について一製造段階における図2のC−C線に沿う縦断面を模式的に表しており、添え字(b)を付した図面について一製造段階における図2のB−B線に沿う縦断面を模式的に表している。
図8(a)および図8(b)に示すように、ゲート間絶縁膜7の上に導電層8を構成するシリコン層8aについてCVD法を用いて形成する。次に、これらの積層構造6〜8aの上に被加工膜となるシリコン窒化膜10をCVD法により堆積し、当該シリコン窒化膜10の上に、芯材となる第1膜としてシリコン酸化膜11をCVD法により堆積する。
次に、図9(a)および図9(b)に示すように、シリコン酸化膜11上にレジスト12を塗布し当該レジスト12をパターニングする。図9(b)に示すように、パターニング後のレジスト12のパターニング領域はY方向に離間してX方向に沿う直線状(ライン状)領域であり、このラインパターン幅W2は、ゲート電極MGのY方向幅である幅W1の略2倍の幅となっている。この時点におけるパターニング後のラインパターンは、現状のリソグラフィ技術の解像度の限界を超えない程度のピッチで形成されており、後の加工によってリソグラフィ技術の解像度を超えるラインパターンに加工形成される。尚、隣り合うラインパターン間のスペースは、その幅W3の寸法がラインパターン幅W2と略同一寸法であり、最終的に形成されるY方向のパターン幅W1の略2倍の寸法となっている。
次に、図10(a)および図10(b)に示すように、パターニングされたレジスト12をマスクとしてシリコン酸化膜11を例えばCF系のガスからなるプラズマにさらし、RIE(Reactive Ion Etching)法を用いて異方性エッチングすることで当該シリコン酸化膜11を複数のラインパターンに分断処理する。シリコン酸化膜11は、そのY方向幅が幅W2と略同一幅となる。これにより、シリコン窒化膜10の一部上面が露出する。次に、Oプラズマに曝すことでレジスト12を除去処理する。
次に、図11(a)および図11(b)に示すように、等方性エッチングすることでシリコン酸化膜11のラインパターンを細らせる。等方性エッチングとしては、フッ酸(HF)を用いたウェットエッチング、または、ドライエッチングが適用される。これにより、シリコン窒化膜10の露出上面領域が拡大する。シリコン酸化膜11を微細化した後のY方向のパターン幅W4は、ほぼ幅W1に一致する幅となるように調整する。
次に、図12(a)および図12(b)に示すように、側壁スペーサとなる第2膜として非晶質シリコン膜13をCVD法により堆積する。この非晶質シリコン膜13は、シリコン酸化膜11の上面および側面並びに露出したシリコン窒化膜10の上面上に沿って形成される。この非晶質シリコン膜13の膜厚は、所望のゲート電極MGのパターン幅W1の寸法と略同一寸法の膜厚に設定されている。非晶質シリコン膜13は、シリコン酸化膜11、シリコン窒化膜10との間でエッチング選択性を容易に得られる膜である。
次に、図13(a)および図13(b)に示すように、非晶質シリコン膜13をシリコン酸化膜11の上面が露出するまでドライエッチング(RIE法による異方性エッチング)する。この場合、例えば塩素(Cl)または臭化水素(HBr)を含むハロゲン系のガスを用いることで、シリコン酸化膜11、シリコン窒化膜10に対し選択性を有するエッチング条件でドライエッチングが行われ、非晶質シリコン膜13が芯材となるシリコン酸化膜11の側面に沿ってスペーサ状に残留する。このときに残留する非晶質シリコン膜13は、シリコン酸化膜11側面上部に上端13aが接触して位置すると共に当該シリコン酸化膜(芯材)11の外側に向けて上側部が凸湾曲した形状をなしている。
非晶質シリコン膜13は、その上面が上端13aから外下側方に向けてなだらかな凸湾曲面に形成されており、非晶質シリコン膜13はシリコン酸化膜11の側面に沿って残留しているため非晶質シリコン膜13の上面と側面とのなす角度は上端13aにおいて鋭角をなしている。この製造段階において、非晶質シリコン膜13は、隣り合うシリコン酸化膜11、11間の中央付近において除去されることによって分断されている。この時点で残留する非晶質シリコン膜13のスペーサ幅W5はほぼW1と一致する。
次に、図14(a)および図14(b)に示すように、シリコン酸化膜11の上部を除去処理する。この除去処理を行うことにより、シリコン酸化膜11の上端11a(上面)は、非晶質シリコン膜13の上端13aの高さよりも低く、且つ、シリコン窒化膜10の上面よりも高い位置になるように落とし込まれる。
このときに行われるシリコン酸化膜11の除去処理は、シリコン窒化膜10、非晶質シリコン膜13に対して選択性を有するエッチング条件に設定される。この処理は、例えばフルオロカーボン系のガスを用いたドライエッチング(RIE法による異方性エッチング)を適用すると良い。
この時点において、隣り合う非晶質シリコン膜13の上端13a−13a間の距離W6、W7を比較すると、上端13a−13a間の距離(スペースの開口幅寸法)が狭い幅W6と広い幅W7とが交互に形成されるようになる。
次に、図15(a)および図15(b)に示すように、シリコン窒化膜10に対して選択性を有するエッチング条件下で非晶質シリコン膜13の上部をドライエッチング(RIE法による異方性エッチング)処理する。ここでの処理は、例えば塩素(Cl)または臭化水素(HBr)を含むハロゲン系のガスを用いて行われる。図13に示す製造段階においては、非晶質シリコン膜13の上面と側面とのなす角度が上端13aにおいて鋭角になっていたものの、この非晶質シリコン膜13の上部がエッチング処理されることによって非晶質シリコン膜13の上端13aがシリコン酸化膜11の上面(芯材)側に向けてなだらかな湾曲面に形成されるようになる。したがって、このエッチング処理が施されることによって非晶質シリコン膜13は、その上端13aにおける鋭角形状が上に凸となる湾曲形状に加工され、非晶質シリコン膜13は、その上面13bの全面が上に凸となる湾曲形状に形成されることになる。
この製造時点において、一つの非晶質シリコン膜13に着目すると、非晶質シリコン膜13は、その上面13bがY方向ほぼ中央に最高位置の上端13bbが位置するように形成されることになる。したがって、この時点において、隣り合う非晶質シリコン膜13の上端13bb−13bb間の距離W8と、その隣りの非晶質シリコン膜13の上端13bb−13bb間の距離W9との差を比較すると、前述の幅W6と幅W7との差よりも少なくなる。したがって、非晶質シリコン膜13−13間の開口幅寸法をほぼ同一ピッチにすることができる。
尚、この製造時点において、シリコン酸化膜11が芯材として2つの非晶質シリコン膜13−13間に残留するように形成されている。図14に示す製造段階からシリコン酸化膜11を全て除去処理したと仮定すると、その後、非晶質シリコン膜13の上部をエッチング処理する時には非晶質シリコン膜13の両側面共にエッチング処理に曝されることになり、非晶質シリコン膜13の両側面間膜厚の制御に劣る。
非晶質シリコン膜13の両側面間膜厚のばらつきが生じると、シリコン窒化膜10の加工幅、加工深さもばらつきを生じてしまう。したがって、非晶質シリコン膜13の両側面間膜厚の制御をより精度良く行うためには、図14に示す製造段階においてシリコン酸化膜11をシリコン窒化膜10の上面より上方で且つ非晶質シリコン膜13の上端13aより下方に位置するように加工すると良い。このためには、ドライエッチング処理の際のエッチング時間を調整することで、非晶質シリコン膜13の上端13aがエッチング加工できる程度に露出していれば良い。
これにより、非晶質シリコン膜13はシリコン酸化膜10との接触側面側が実質的にエッチング処理に曝されることがなくなり、露出した非晶質シリコン膜13の片側面側の膜厚制御のみを行えば良くなる。ここで、露出している非晶質シリコン膜13の片側面側は本来非晶質シリコン膜13をシリコン酸化膜10の側面に沿ってスペーサ状に残留させるエッチング処理の際にもエッチング処理に曝される面であるため、シリコン酸化膜10の上部の除去処理前後での非晶質シリコン膜13に対するエッチング処理を合わせたときに適正な側面位置となるようエッチング条件を設定することで、非晶質シリコン膜13の両側面間膜厚の制御を精度良く行うことは容易である。また、非晶質シリコン膜13の高さが高い場合には、シリコン酸化膜10が側面に沿って形成されていると強度を確保することができ、エッチング処理時の信頼性を向上できる。
これらの図13、図14、図15に示すRIE法によるドライエッチングは、同一のエッチングチャンバー内において使用する雰囲気などの条件(例えば使用するガス系)を変更して連続(マルチステップ)して行うと、処理を迅速化することができる。
次に、図16(a)および図16(b)に示すように、シリコン酸化膜11を除去処理する。この除去処理方法としてはウェットエッチング処理などを適用すると良い。
次に、図17(a)および図17(b)に示すように、シリコン窒化膜10、シリコン層8a、ゲート間絶縁膜7、多結晶シリコン層6をエッチング処理する。この場合、例えばドライエッチング(例えばRIE法による異方性エッチング)により分断すると良い。
次に、図3(b)に示すように、ソース/ドレイン領域2cを形成するため、n型不純物をイオン注入し、不純物の活性化のための熱処理を行う。次に、積層構造6、7、8aの分断領域内に層間絶縁膜9を埋込み、シリコン層8aの上部を金属によってシリサイド化することで導電層8を形成する。
本実施形態によれば、シリコン窒化膜10の上にシリコン酸化膜11のパターン、および当該シリコン酸化膜11の側壁にスペーサ状に非晶質シリコン膜13を形成した後、非晶質シリコン膜13に対して選択性を有する条件下でシリコン酸化膜11を部分的にエッチングすることで当該シリコン酸化膜11の上端11aが非晶質シリコン膜13の上端13aより低く、且つ、シリコン窒化膜10の上面よりも高い位置になるように加工し、シリコン窒化膜10との間で選択性を有する条件下で非晶質シリコン膜13の上部(特には上端13a)をエッチング処理し、シリコン酸化膜11を除去処理し、非晶質シリコン膜13をマスクとしてシリコン窒化膜10、当該シリコン窒化膜10の下側の積層構造6〜8をエッチング処理している。
このため、シリコン窒化膜10より下側の積層構造6〜8、10をエッチング処理するときには、そのマスクとなる非晶質シリコン膜13は、その上面13bが当該非晶質シリコン膜13の中央側において上に凸となる湾曲面に形成されると共にY方向両側面が下側方になだらかに湾曲形成されており、非晶質シリコン膜13のY方向形状の対称性が改善されている。
これにより、非晶質シリコン膜13の上面13bの上端13bb間の開口幅寸法を非晶質シリコン膜13のY方向両脇においてほぼ同一寸法にすることができ、当該非晶質シリコン膜13の両脇に位置するシリコン窒化膜10に対し均等にエッチング処理を施すことができる。この結果、側壁転写プロセスを適用してパターンを形成するときに、マスク(非晶質シリコン膜13)の両脇の加工幅をほぼ一定に保つことができる。また、エッチング時間を調整することでシリコン窒化膜10より下側の加工深さをほぼ一定にすることができ、加工形状差をほぼ一定にすることができる。これにより、デバイスの歩留まりを改善することができる。
図13、図14、図15に示す製造工程についてドライエッチング処理を順次行うことで実施できるため、例えばウェットエッチング処理を行うことなく処理することができ、処理の迅速化を図ることができる。また、RIE法によりエッチング条件を変更して連続的(マルチステップ)に実施すれば同一チャンバーを用いて形成できる。
シリコン酸化膜11をウェットエッチング処理により除去しているため、隣り合う非晶質シリコン膜13間に残留させることなく綺麗に除去できる。
(第2実施形態)
図18ないし図21は、本発明の第2実施形態を示すもので、前述実施形態と異なるところは、第1膜として有機材料膜と無機膜との積層構造膜を適用したところにある。前述実施形態と同一部分については同一符号を付して説明を省略し、以下、異なる部分について説明する。
図18〜図21については、添え字(a)を付した図面について一製造段階における図2のC−C線に沿う縦断面を模式的に表しており、添え字(b)を付した図面について一製造段階における図2のB−B線に沿う縦断面を模式的に表している。
図18(a)および図18(b)に示すように、シリコン窒化膜10の上にCVD法を用いてカーボン膜14を形成し、次に、カーボン膜14の上に無機膜としての塗布型のシリコン酸化膜(SOG(Spin On Glass)膜)15を形成する。
次に、スピンコート技術を用いてマスクとしてフォトレジスト(図示せず)を積層し、露光技術を用いてパターニングする。次に、図19(a)および図19(b)に示すように、パターニングされたフォトレジスト(図示せず)をマスクとしてシリコン酸化膜15をエッチング処理し、その後残留したフォトレジストと共にカーボン膜14をエッチング処理することでシリコン窒化膜10の上面を露出させ、次にスリミング技術を用いてカーボン膜14およびシリコン酸化膜15を細らせると共にシリコン窒化膜10の露出上面を拡大させ、前述実施形態と同様に非晶質シリコン膜13を、シリコン酸化膜15の上面、シリコン酸化膜15およびカーボン膜14の側面並びにシリコン窒化膜10の露出上面に沿って形成する。
次に、図20(a)および図20(b)に示すように、非晶質シリコン膜13をドライエッチング処理することでシリコン酸化膜15の上面を露出させると共に、隣り合うカーボン膜14およびシリコン酸化膜15の積層構造間のほぼ中央付近に開口を形成し、シリコン窒化膜10の上面を露出させる。ドライエッチングは、例えば塩素(Cl)または臭化水素(HBr)を含むガスなどを用いて行う。このドライエッチング処理では、フルオロカーボン系ガスを用いることなく実施できるため、シリコン窒化膜10との間で高い選択比を取得した状態で非晶質シリコン膜13を加工することができる。このとき、非晶質シリコン膜13の上端13aをシリコン酸化膜15の上端(上面)15aよりも下方で且つカーボン膜14の上面14aよりも上方に位置するように形成する。
次に、図21(a)および図21(b)に示すように、例えばウェットエッチングによりシリコン酸化膜15のみを除去処理することでカーボン膜14の上面14aを露出させる。このとき、カーボン膜14の上面14aが非晶質シリコン膜13の上端13aよりも下方に位置し且つシリコン窒化膜10の上面よりも上方に位置するように設定する。この場合、カーボン膜14、非晶質シリコン膜13、シリコン窒化膜10に対して選択性を有する条件下でシリコン酸化膜15を除去処理すると、カーボン膜14の上面14aの高さ位置を調整しやすくなる。次いで、前述実施形態と同様にシリコン窒化膜10に対して選択性を有する条件で非晶質シリコン膜13の上端13aをエッチング処理した後、カーボン膜14を除去処理するが、カーボン系の芯材を適用した場合には、非晶質シリコン膜13とシリコン窒化膜10とに対してエッチング選択性を有する条件でアッシングを行うことにより、カーボン膜14を除去処理することができる。アッシングガスとしては、例えば酸素(O)ガス、窒素(N)ガス、水素(H)ガス、アンモニア(NH)ガスなどを用いると良い。これ以降の工程については、前述実施形態とほぼ同様であるため、その説明を省略する。
本実施形態によれば、シリコン酸化膜11に代えてカーボン膜14とSOG膜15との積層構造を適用して形成しているので、前述実施形態とほぼ同様の作用効果を奏する。
また、カーボン膜14に対して選択性を有する条件下でシリコン酸化膜15を除去処理できるため、エッチング時間を調整することなく上面14aの位置を調整でき、上面14aの位置調整がより容易になる。
(第3実施形態)
図22ないし図24は、本発明の第3実施形態を示すもので、前述実施形態と異なるところは、素子分離溝を形成する場合に適用したところにある。前述実施形態と同一部分については同一符号を付して説明を省略し、以下、異なる部分について説明する。
図22ないし図24は、素子分離溝3を形成するまでの製造工程を段階的に表している。図4に示すように、半導体基板2上にゲート絶縁膜5を形成し、図5に示すように、ゲート絶縁膜5上に多結晶シリコン層6を形成した後、図22に示すように、多結晶シリコン層6の上にシリコン窒化膜16をCVD法により堆積し、シリコン窒化膜16の上にシリコン酸化膜17を堆積する。
次に、図23に示すように、前述実施形態と同様の側壁転写プロセスを経て、シリコン酸化膜17の上に非晶質シリコン膜13をマスクとして形成する。
次に、図24に示すように、非晶質シリコン膜13をマスクとして、シリコン酸化膜17、シリコン窒化膜16、多結晶シリコン層6、ゲート絶縁膜5、半導体基板2の上部を順次異方性エッチングすることで素子分離溝3を形成する。この後の製造方法については本実施形態の特徴には関係しないため説明を省略する。
以上説明したように、本実施形態によれば、素子分離溝3を形成する場合に前述実施形態に係る製造方法を適用しているため、X方向の微細化を図ることができる。
(他の実施形態)
本発明は、上記実施形態に限定されるものではなく、例えば、以下に示す変形または拡張が可能である。
フラッシュメモリ装置1に適用したが、微細化が要求される半導体装置であれば何れのデバイスに適用しても良い。また、前述の第1〜第3の実施形態にて加工の一態様を示したが、層間絶縁膜への溝加工工程、ビット線の形成工程について適用しても良い。
半導体基板2としてp型のシリコン基板にウェル2a、2bが形成された基板を適用したが、n型のシリコン基板の表層にpウェルが形成された構成に適用しても良い。前述実施形態において、X方向とY方向は互いに半導体基板2の表面内で直交する方向として適用すると良い。
第1の実施形態では、被加工膜としてシリコン窒化膜10、第1膜としてシリコン酸化膜11、第2膜として非晶質シリコン膜13を適用したが、これらは互いに入れ替えて割り当てても良い。シリコン膜として非晶質シリコン膜13を適用したが、非晶質シリコン膜13に代えて多結晶シリコン膜を適用しても良い。
また、被加工膜、芯材となる第1膜、側壁スペーサとなる第2膜としては、互いにエッチング選択性を取得できる膜であれば、何れの材質膜から形成されても良い。尚、これらの被加工膜、第1膜、第2膜としては、シリコン膜、シリコン酸化膜、シリコン窒化膜、有機材料膜(例えばレジスト、カーボン膜)などの何れか互いに異なる材料膜を適用すると良い。また、シリコン酸化膜としては、TEOS、BPSG、BSG、PSG、FSG等を適用できる。
また、前述実施形態において「一致」という語句は、特性上の影響が無い範囲で製造誤差、製造ばらつきを含んだ概念として用いている。
前述した実施形態では、ゲート電極MGの加工工程、素子分離溝3の加工工程に適用した実施形態を示しているが、適用可能な工程については適宜変更することができ、且つ複数の工程に対し前述実施形態で示した側壁転写プロセスを適用しても良い。
図面中、1はフラッシュメモリ装置(半導体装置)、10はシリコン窒化膜(被加工膜)、11はシリコン酸化膜(第1膜)、13は非晶質シリコン膜(第2膜)を示す。

Claims (5)

  1. 被加工膜上に第1膜を形成する第1の工程と、
    前記第1膜を細幅のパターンと太幅のスペースパターンにパターニングし前記被加工膜の上面を露出させる第2の工程と、
    前記第1膜の上面および側面並びに前記被加工膜の上面に沿って前記第1膜との間でエッチング選択性を有する第2膜を形成する第3の工程と、
    前記第2膜をエッチングすることで当該第2膜を前記第1膜の側面に沿って残留させながら前記第1膜の上面および前記被加工膜の上面を露出させる第4の工程と、
    前記第2膜に対して選択性を有する条件下で前記第1膜をエッチング処理することで前記第1膜の上端が前記第2膜の上端より低く、且つ、前記第1膜の上端が前記被加工膜の上面よりも高い位置になるように形成する第5の工程と、
    前記第1膜をエッチング処理した後、前記被加工膜との間で選択性を有する条件下で、上面の全面が上に凸となる湾曲形状で且つ幅方向ほぼ中央に最高位置の上端が位置するように前記第2膜の上部をエッチング処理する第6の工程と、
    前記第2膜の上部をエッチング処理した後、前記第1膜を除去処理する第7の工程と、
    前記第1膜を除去処理した後、前記第2膜をマスクとして前記被加工膜をエッチング処理する第8の工程とを備えたことを特徴とする半導体装置の製造方法。
  2. 前記被加工膜、前記第1膜、前記第2膜として、シリコン膜、シリコン酸化膜、シリコン窒化膜、有機材料膜の何れか互いに異なる膜を割り当てることを特徴とする請求項1記載の半導体装置の製造方法。
  3. 前記第4の工程、前記第5の工程、前記第6の工程では、それぞれ、ドライエッチング処理を順次実施することを特徴とする請求項1または2記載の半導体装置の製造方法。
  4. 前記第4の工程、前記第5の工程、前記第6の工程では、RIE(Reactive Ion Etching)法によりエッチング条件を変更して連続的に実施することを特徴とする請求項3記載の半導体装置の製造方法。
  5. 前記第7の工程では、ウェットエッチング処理により前記第1膜を除去処理することを特徴とする請求項1ないし4の何れかに記載の半導体装置の製造方法。
JP2009022520A 2009-02-03 2009-02-03 半導体装置の製造方法 Expired - Fee Related JP5330004B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2009022520A JP5330004B2 (ja) 2009-02-03 2009-02-03 半導体装置の製造方法
US12/635,024 US7985682B2 (en) 2009-02-03 2009-12-10 Method of fabricating semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009022520A JP5330004B2 (ja) 2009-02-03 2009-02-03 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2010182725A JP2010182725A (ja) 2010-08-19
JP5330004B2 true JP5330004B2 (ja) 2013-10-30

Family

ID=42398050

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009022520A Expired - Fee Related JP5330004B2 (ja) 2009-02-03 2009-02-03 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US7985682B2 (ja)
JP (1) JP5330004B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7274616B2 (ja) 2016-04-04 2023-05-16 ハイアー ディメンション マテリアルズ,インコーポレイティド 抗微生物性布帛アセンブリ

Families Citing this family (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8728945B2 (en) * 2010-11-03 2014-05-20 Texas Instruments Incorporated Method for patterning sublithographic features
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9099532B2 (en) 2012-09-14 2015-08-04 Sandisk Technologies Inc. Processes for NAND flash memory fabrication
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9385022B2 (en) * 2014-05-21 2016-07-05 Globalfoundries Inc. Silicon waveguide on bulk silicon substrate and methods of forming
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9390922B1 (en) 2015-02-06 2016-07-12 Sandisk Technologies Llc Process for forming wide and narrow conductive lines
US9425047B1 (en) 2015-02-19 2016-08-23 Sandisk Technologies Llc Self-aligned process using variable-fluidity material
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9595444B2 (en) 2015-05-14 2017-03-14 Sandisk Technologies Llc Floating gate separation in NAND flash memory
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) * 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11482411B2 (en) * 2020-06-30 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6967140B2 (en) * 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
KR100674970B1 (ko) * 2005-04-21 2007-01-26 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7575992B2 (en) * 2005-09-14 2009-08-18 Hynix Semiconductor Inc. Method of forming micro patterns in semiconductor devices
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US8481417B2 (en) * 2007-08-03 2013-07-09 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
JP2009088085A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
JP2009130035A (ja) * 2007-11-21 2009-06-11 Toshiba Corp 半導体装置の製造方法
US7659208B2 (en) * 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
JP2009177069A (ja) * 2008-01-28 2009-08-06 Toshiba Corp 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7274616B2 (ja) 2016-04-04 2023-05-16 ハイアー ディメンション マテリアルズ,インコーポレイティド 抗微生物性布帛アセンブリ

Also Published As

Publication number Publication date
US20100197107A1 (en) 2010-08-05
US7985682B2 (en) 2011-07-26
JP2010182725A (ja) 2010-08-19

Similar Documents

Publication Publication Date Title
JP5330004B2 (ja) 半導体装置の製造方法
US8643076B2 (en) Non-volatile memory device and method for fabricating the same
JP2008010537A (ja) Nand型不揮発性半導体記憶装置およびnand型不揮発性半導体記憶装置の製造方法
JP2009194244A (ja) 半導体記憶装置及びその製造方法
JP5606388B2 (ja) パターン形成方法
JP5091452B2 (ja) 半導体装置の製造方法
KR20120031667A (ko) 반도체 소자 및 반도체 소자 제조 방법
JP2010087160A (ja) 不揮発性半導体記憶装置の製造方法および不揮発性半導体記憶装置
JP2013089859A (ja) 半導体装置の製造方法
JP2010080853A (ja) 不揮発性半導体記憶装置およびその製造方法
US8691703B2 (en) Method of manufacturing semiconductor device
JP5330440B2 (ja) 半導体装置の製造方法
JP2006278967A (ja) 半導体装置およびその製造方法
JP2010087159A (ja) 不揮発性半導体記憶装置およびその製造方法
JP2011199199A (ja) 半導体装置および半導体装置の製造方法。
KR100914810B1 (ko) 반도체 장치 및 그 제조 방법
JP2014187132A (ja) 半導体装置
TW201644005A (zh) 半導體元件及其製造方法
JP2010129740A (ja) 不揮発性半導体記憶装置およびその製造方法
JP2009252773A (ja) 不揮発性半導体記憶装置およびその製造方法
JP2010034291A (ja) 不揮発性半導体記憶装置の製造方法
KR100654558B1 (ko) 플래시 메모리 소자의 플로팅 게이트 형성 방법
KR101094353B1 (ko) 플래시 메모리 소자의 게이트 패턴 형성방법
JP4509653B2 (ja) 不揮発性半導体記憶装置の製造方法
US8119475B2 (en) Method of forming gate of semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110318

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130410

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130416

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130617

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130702

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130725

LAPS Cancellation because of no payment of annual fees