KR20190042977A - 반도체 장치의 제조 방법 - Google Patents

반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR20190042977A
KR20190042977A KR1020170134699A KR20170134699A KR20190042977A KR 20190042977 A KR20190042977 A KR 20190042977A KR 1020170134699 A KR1020170134699 A KR 1020170134699A KR 20170134699 A KR20170134699 A KR 20170134699A KR 20190042977 A KR20190042977 A KR 20190042977A
Authority
KR
South Korea
Prior art keywords
source
forming
drain region
insulating film
gate electrode
Prior art date
Application number
KR1020170134699A
Other languages
English (en)
Inventor
궈 타이 황
이준곤
류지 토미타
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020170134699A priority Critical patent/KR20190042977A/ko
Priority to US16/051,635 priority patent/US20190115451A1/en
Priority to CN201811197947.0A priority patent/CN109671676A/zh
Publication of KR20190042977A publication Critical patent/KR20190042977A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants

Abstract

컨택 저항을 개선시키는 반도체 장치의 제조 방법이 제공된다. 반도체 장치의 제조 방법은, 기판 상에 핀형 패턴을 형성하고, 핀형 패턴 상에, 핀형 패턴과 교차하는 제1 게이트 전극을 형성하고, 핀형 패턴 내에, 제1 게이트 전극의 측벽에 인접하는 리세스를 형성하고, 소오스 가스 및 도핑 가스를 이용하는 화학기상증착 공정을 수행하여, 리세스 내에 소오스/드레인 영역을 형성하는 것을 포함하고, 소오스 가스는 실리콘 전구체 및 게르마늄 전구체를 포함하고, 도핑 가스는 갈륨 전구체 및 붕소 전구체를 포함한다.

Description

반도체 장치의 제조 방법{METHOD FOR FABRICATING SEMICONDUCTOR DEVICE}
본 발명은 반도체 장치의 제조 방법에 관한 것이다.
최근 정보 매체의 급속한 보급에 따라 반도체 장치의 기능도 비약적으로 발전하고 있다. 최근의 반도체 제품들의 경우, 경쟁력 확보를 위해 낮은 생산 비용이 요구되고, 고품질을 위해 고집적화가 요구된다.
반도체 제품들이 고집적화됨에 따라, 트랜지스터의 소오스/드레인 컨택의 저항을 낮추기 위한 연구가 진행되고 있다. 소오스/드레인 컨택의 저항은, 쇼트키 장벽 높이를 얼마나 낮출 수 있느냐에 따라 결정될 수 있다. 이에 따라, 소오스/드레인 컨택의 저항을 줄이는 방법으로, 실리사이드의 일함수를 조절하거나 도핑을 이용하여 쇼트키 장벽 높이를 낮추는 방법이 사용되고 있다.
본 발명이 해결하고자 하는 기술적 과제는 컨택 저항을 개선시키는 반도체 장치의 제조 방법을 제공하는 것이다.
본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법은, 기판 상에 핀형 패턴을 형성하고, 핀형 패턴 상에, 핀형 패턴과 교차하는 제1 게이트 전극을 형성하고, 핀형 패턴 내에, 제1 게이트 전극의 측벽에 인접하는 리세스를 형성하고, 소오스 가스 및 도핑 가스를 이용하는 화학기상증착 공정을 수행하여, 리세스 내에 소오스/드레인 영역을 형성하는 것을 포함하고, 소오스 가스는 실리콘 전구체 및 게르마늄 전구체를 포함하고, 도핑 가스는 갈륨 전구체 및 붕소 전구체를 포함한다.
상기 기술적 과제를 달성하기 위한 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법은, 기판 상에 핀형 패턴을 형성하고, 핀형 패턴 상에, 핀형 패턴과 교차하는 게이트 전극을 형성하고, 핀형 패턴 내에, 게이트 전극의 측벽에 인접하는 리세스를 형성하고, 선택적 에피 공정 및 선택적 에피 공정과 인시츄(in-situ)로 수행되는 도핑 공정을 이용하여, 리세스 내에 소오스/드레인 영역을 형성하는 포함하고, 소오스/드레인 영역은 갈륨(Ga) 및 붕소(B)가 도핑된 Si1 -xGex (여기서, x는 0<x<1임)를 포함한다.
상기 기술적 과제를 달성하기 위한 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법은, 기판 상에 제1 핀형 패턴 및 제2 핀형 패턴을 형성하고, 제1 핀형 패턴 내에 제1 리세스를 형성하고, 제1 선택적 에피 공정 및 제1 선택적 에피 공정과 인시츄로 수행되는 제1 도핑 공정을 이용하여, 제1 리세스 내에 p형 불순물을 포함하는 제1 소오스/드레인 영역을 형성하고, 제2 핀형 패턴 내에 제2 리세스를 형성하고, 제2 선택적 에피 공정을 수행하여, 제2 리세스 내에 제2 소오스/드레인 영역을 형성하고, 제2 소오스/드레인 영역을 형성한 후에, 제2 소오스/드레인 영역 내에 제1 n형 불순물을 도핑하는 제2 도핑 공정을 수행하고, 제1 소오스/드레인 영역을 형성한 후에, 제1 소오스/드레인 영역 내에 p형 불순물을 비도핑하는 것을 포함한다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
도 1 내지 도 8은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 9 내지 도 14는 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 15 내지 도 25는 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 26은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면이다.
이하에서, 도 1 내지 도 8을 참조하여, 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명한다.
도 1 내지 도 8은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
참고적으로, 도 1은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 레이아웃도이다. 도 2 내지 도 8은 도 1의 A-A'를 따라 절단한 단면도들이다.
도 1 및 도 2를 참조하면, 기판(100) 상에 제1 핀형 패턴(F1)을 형성한다.
기판(100)은 예를 들어, 벌크 실리콘 또는 SOI(silicon-on-insulator)일 수 있다. 기판(100)은 실리콘 기판일 수도 있고, 또는 다른 물질, 예를 들어, 실리콘게르마늄, 안티몬화 인듐, 납 텔루르 화합물, 인듐 비소, 인듐 인화물, 갈륨 비소 또는 안티몬화 갈륨을 포함할 수도 있다. 또는, 기판(100)은 베이스 기판 상에 에피층이 형성된 것일 수도 있다.
제1 핀형 패턴(F1)은 기판(100)으로부터 돌출되어 제1 방향(X1)을 따라 길게 연장될 수 있다. 제1 핀형 패턴(F1)은 기판(100)의 일부일 수도 있고, 기판(100)으로부터 성장된 에피층(epitaxial layer)을 포함할 수도 있다.
제1 핀형 패턴(F1)은 예를 들어, 원소 반도체 물질인 실리콘 또는 게르마늄을 포함할 수 있다. 또한, 제1 핀형 패턴(F1)은 화합물 반도체, 예를 들어, IV-IV족 화합물 반도체 또는 III-V족 화합물 반도체를 포함할 수 있다.
구체적으로, 제1 핀형 패턴(F1)이 IV-IV족 화합물 반도체를 포함하는 경우를 예로 들면, 제1 핀형 패턴(F1)은 탄소(C), 실리콘(Si), 게르마늄(Ge), 주석(Sn) 중 적어도 2개 이상을 포함하는 이원계 화합물(binary compound), 삼원계 화합물(ternary compound) 또는 이들에 IV족 원소가 도핑된 화합물을 포함할 수 있다. 제1 핀형 패턴(F1)이 III-V족 화합물 반도체를 포함하는 경우를 예로 들면, 제1 핀형 패턴(F1)은 III족 원소로 알루미늄(Al), 갈륨(Ga) 및 인듐(In) 중 적어도 하나와 V족 원소인 인(P), 비소(As) 및 안티몬(Sb) 중 하나가 결합되어 형성되는 이원계 화합물, 삼원계 화합물 또는 사원계 화합물 중 하나를 포함할 수 있다. 몇몇 실시예에 따른 반도체 장치에서, 제1 핀형 패턴(F1)은 실리콘을 포함하는 실리콘 핀형 패턴인 것으로 설명한다.
이어서, 제1 핀형 패턴(F1) 상에 제1 게이트 절연막(111), 제2 게이트 절연막(112), 제1 게이트 전극(G1) 및 제2 게이트 전극(G2)을 형성한다.
제1 게이트 절연막(111) 및 제2 게이트 절연막(112)은 서로 이격되도록 형성될 수 있다. 예를 들어, 제1 게이트 절연막(111) 및 제2 게이트 절연막(112)은 제1 방향(X1)에서 서로 이격될 수 있다. 또한, 제1 게이트 절연막(111) 및 제2 게이트 절연막(112)은 제1 핀형 패턴(F1)과 교차하도록 형성될 수 있다. 예를 들어, 제1 게이트 절연막(111) 및 제2 게이트 절연막(112)은 제1 방향(X1)과 교차하는 제2 방향(Y1)을 따라 길게 연장될 수 있다.
제1 게이트 전극(G1)은 제1 게이트 절연막(111) 상에 형성되고, 제2 게이트 전극(G2)은 제2 게이트 절연막(112) 상에 형성될 수 있다. 이에 따라, 제1 게이트 전극(G1) 및 제2 게이트 전극(G2)은 제1 방향(X1)에서 서로 이격될 수 있다. 또한, 제1 게이트 전극(G1) 및 제2 게이트 전극(G2)은 제2 방향(Y1)을 따라 길게 연장될 수 있다.
예를 들어, 기판(100) 및 제1 핀형 패턴(F1) 상에 절연막 및 도전막을 차례로 형성할 수 있다. 이어서, 상기 절연막 및 상기 도전막을 패터닝할 수 있다. 이에 따라, 상술한 제1 게이트 절연막(111), 제2 게이트 절연막(112), 제1 게이트 전극(G1) 및 제2 게이트 전극(G2)을 각각 형성할 수 있다.
제1 게이트 절연막(111) 및 제2 게이트 절연막(112)은 실리콘 산화막보다 높은 유전 상수를 갖는 고유전체 물질을 포함할 수 있다. 예를 들어, 제1 게이트 절연막(111) 및 제2 게이트 절연막(112)은 하프늄 산화물(hafnium oxide), 하프늄 실리콘 산화물(hafnium silicon oxide), 란타늄 산화물(lanthanum oxide), 란타늄 알루미늄 산화물(lanthanum aluminum oxide), 지르코늄 산화물(zirconium oxide), 지르코늄 실리콘 산화물(zirconium silicon oxide), 탄탈륨 산화물(tantalum oxide), 티타늄 산화물(titanium oxide), 바륨 스트론튬 티타늄 산화물(barium strontium titanium oxide), 바륨 티타늄 산화물(barium titanium oxide), 스트론튬 티타늄 산화물(strontium titanium oxide), 이트륨 산화물(yttrium oxide), 알루미늄 산화물(Aluminum oxide), 납 스칸듐 탄탈륨 산화물(lead scandium tantalum oxide), 납 아연 니오브산염(lead zinc niobate) 또는 이들의 조합 중 적어도 하나를 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
도 1 및 도 2에서, 1개의 핀형 패턴(F1)과 2개의 게이트 전극(G1, G2)만이 도시되었으나, 이는 설명의 편의를 위한 것일 뿐이고, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 예를 들어, 몇몇 실시예에 따른 반도체 장치의 제조 방법은, 1개 이상의 핀형 패턴과 2개 이상의 게이트 전극에 대한 것일 수도 있다.
도 3을 참조하면, 제1 스페이서(121) 및 제2 스페이서(122)를 형성한다.
제1 스페이서(121)는 제1 게이트 절연막(111)의 양 측벽 및 제1 게이트 전극(G1)의 양 측벽 상에 형성될 수 있다. 제2 스페이서(122)는 제2 게이트 절연막(112)의 양 측벽 및 제2 게이트 전극(G2)의 양 측벽 상에 형성될 수 있다.
제1 스페이서(121) 및 제2 스페이서(122)는 단일막으로 도시되었으나, 제1 스페이서(121) 및 제2 스페이서(122)는 다중막의 구조를 가질 수도 있다.
제1 스페이서(121) 및 제2 스페이서(122)는 예를 들어, 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO2), 실리콘 산탄질화물(SiOCN) 또는 이들의 조합 중 적어도 하나를 포함할 수 있다.
도 4를 참조하면, 제1 핀형 패턴(F1) 내에 제1 리세스(R1)를 형성한다. 제1 리세스(R1)는 제1 게이트 전극(G1)의 측벽 및 제2 게이트 전극(G2)의 측벽에 인접하도록 형성될 수 있다.
제1 리세스(R1)는 제1 게이트 전극(G1), 제2 게이트 전극(G2), 제1 스페이서(121) 및 제2 스페이서(122)를 식각 마스크로 이용하는 식각 공정에 의해 형성될 수 있다. 이에 따라, 제1 핀형 패턴(F1) 내에, 제1 게이트 전극(G1)의 측벽 및 제2 게이트 전극(G2)의 측벽에 인접하는 제1 리세스(R1)가 형성될 수 있다. 식각 공정은 예를 들어, 반응 이온 식각(RIE; reactive ion etching) 공정 또는 습식 식각 공정을 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
몇몇 실시예에서, 제1 리세스(R1)는 언더컷(undercut)을 포함할 수 있다. 예를 들어, 도시된 것처럼, 제1 리세스(R1)는 제1 스페이서(121)의 하단 및 제2 스페이서(122)의 하단에 형성되는 언더컷을 포함할 수 있다.
도 5 및 도 6을 참조하면, 제1 리세스(R1) 내에 p형 불순물을 포함하는 제1 소오스/드레인 영역(131)을 형성한다.
제1 소오스/드레인 영역(131)을 형성하는 것은, 선택적 에피(selective EPI) 공정 및 상기 선택적 에피 공정과 인시츄(in-situ)로 수행되는 도핑 공정을 이용할 수 있다.
예를 들어, 도 5에 도시된 것처럼, 제1 소오스 가스(SG) 및 제1 도핑 가스(DG)를 이용하는 화학기상증착(CVD; chemical vapor deposition) 공정을 수행하여, 상기 선택적 에피 공정 및 상기 도핑 공정을 수행할 수 있다.
몇몇 실시예에서, 제1 소오스 가스(SG)는 실리콘 전구체(P1; Si precursor) 및 게르마늄 전구체(P2; Ge precursor)를 포함할 수 있다. 이에 따라, Si1 - xGex (여기서, x는 0<x<1)을 포함하는 제1 소오스/드레인 영역(131)이 형성될 수 있다. 몇몇 실시예에서, 상기 x는 0.4 내지 0.7일 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
실리콘 전구체(P1)는 예를 들어, SiCl2 (dichlorosilane)을 포함할 수 있으나, 이에 제한되는 것은 아니다. 게르마늄 전구체(P2)는 예를 들어, GeH4 (germane)을 포함할 수 있으나, 이에 제한되는 것은 아니다.
제1 도핑 가스(DG)는 p형 불순물 전구체를 포함할 수 있다. 예를 들어, 제1 도핑 가스(DG)는 붕소(B), 알루미늄(Al), 갈륨(Ga), 인듐(In) 또는 이들의 조합 중 적어도 하나의 전구체를 포함할 수 있다.
몇몇 실시예에서, 제1 소오스 가스(SG)는 실리콘 전구체(P1) 및 게르마늄 전구체(P2)를 포함하고, 제1 도핑 가스(DG)는 갈륨 전구체(P3; Ga precursor) 및 붕소 전구체(P4; B precursor)를 포함할 수 있다. 이에 따라, 갈륨(Ga) 및 붕소(B)가 도핑된 Si1 - xGex (여기서, x는 0<x<1)을 포함하는 제1 소오스/드레인 영역(131)이 형성될 수 있다. 몇몇 실시예에서, 제1 소오스/드레인 영역(131) 내의 갈륨(Ga)의 농도는 1E20 cm-3 이상일 수 있다. 또한, 몇몇 실시에에서, 제1 소오스/드레인 영역(131) 내의 붕소(B)의 농도는 0.1E20 cm-3 내지 5E20 cm-3일 수 있다.
갈륨 전구체(P3)는 예를 들어, 갈륨(Ga)을 포함하는 유기금속 화합물을 포함할 수 있다. 예를 들어, 갈륨 전구체(P3)는 Ga(CH3)3 (Trimethylgallium), Ga(C2H5)3 (Triethylgallium), DMGIP (dimethylgallium isopropoxide) 또는 이들의 조합 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다.
붕소 전구체(P4)는 예를 들어, B2H6 (diborane)을 포함할 수 있으나, 이에 제한되는 것은 아니다.
몇몇 실시예에서, 제1 소오스/드레인 영역(131)은 상승된(elevated) 소오스/드레인 영역일 수 있다. 즉, 제1 소오스/드레인 영역(131)의 최상부는 제1 핀형 패턴(F1)의 최상면보다 위로 돌출될 수 있다.
몇몇 실시예에서, 상기 선택적 에피 공정 및 상기 도핑 공정은, 약 10 torr 내지 250 torr의 공정 압력 및 약 550 ℃ 내지 740 ℃의 공정 온도에서 수행될 수 있다.
몇몇 실시예에서, 상기 선택적 에피 공정 및 상기 도핑 공정을 수행하기 전에, 베이킹(baking) 공정을 수행하는 것을 더 포함할 수 있다. 예를 들어, 베이킹 공정은, 수소(H2)를 이용하여, 약 150 torr 내지 600 torr의 공정 압력 및 약 650 ℃ 내지 800 ℃의 공정 온도에서, 약 3분 내지 5분간 수행될 수 있다.
도 7을 참조하면, 제1 소오스/드레인 영역(131)의 일부를 노출시키는 제1 층간 절연막(141)을 형성한다. 예를 들어, 제1 소오스/드레인 영역(131)의 일부를 노출시키는 제1 컨택홀(H1)을 포함하는 제1 층간 절연막(141)을 형성할 수 있다.
먼저, 도 6에 따른 결과물 상에 제1 층간 절연막(141)을 형성할 수 있다. 제1 층간 절연막(141)은 예를 들어, 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO2), 실리콘 산탄질화물(SiOCN) 또는 이들의 조합 중 적어도 하나를 포함할 수 있다.
이어서, 제1 층간 절연막(141)의 일부를 식각하여, 제1 소오스/드레인 영역(131)의 일부를 노출시키는 제1 컨택홀(H1)을 형성할 수 있다.
도 7에서, 제1 소오스/드레인 영역(131)의 상부의 일부가 식각되는 것으로 도시되었으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 예를 들어, 제1 컨택홀(H1)을 형성하는 과정에서, 제1 소오스/드레인 영역(131)은 식각되지 않을 수 도 있다.
또한, 도 7에서, 제1 컨택홀(H1)의 측벽은 제1 스페이서(121) 및 제2 스페이서(122)와 접촉하지 않는 것으로 도시되었으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 예를 들어, 제1 컨택홀(H1)을 형성하는 과정에서, 제1 스페이서(121) 및 제2 스페이서(122)의 일부가 함께 식각될 수도 있다. 그러나, 제1 컨택홀(H1)의 측벽은 제1 게이트 전극(G1) 및 제2 게이트 전극(G2)과 접촉하지 않는다.
도 8을 참조하면, 제1 컨택홀(H1) 내에 제1 컨택(150)을 형성한다.
제1 컨택홀(H1)은 제1 소오스/드레인 영역(131)의 일부를 노출시키므로, 제1 컨택(150)은 제1 소오스/드레인 영역(131)의 일부와 접촉할 수 있다. 이에 따라, 제1 컨택(150)은 제1 소오스/드레인 영역(131)을 반도체 장치의 배선 등과 전기적으로 연결할 수 있다.
제1 컨택(150)은 예를 들어, 제1 실리사이드막(152), 제1 도전막(154) 및 제2 도전막(156)을 포함할 수 있다.
예를 들어, 제1 소오스/드레인 영역(131) 상에 제1 실리사이드막(152)을 형성할 수 있다. 제1 실리사이드막(152)은 예를 들어, Ti, Co, Ni, Mo, Pt 또는 이들의 조합 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다.
이어서, 제1 실리사이드막(152)의 상면 및 제1 컨택홀(H1)의 측벽을 따라 제1 도전막(154)을 형성할 수 있다. 제1 도전막(154)은 예를 들어, Ti, TiN 또는 이들의 조합 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다.
이어서, 제1 도전막(154) 상에 제1 컨택홀(H1)을 채우는 제2 도전막(156)을 형성할 수 있다. 제2 도전막(156)은 예를 들어, W, Al, Cu 또는 이들의 조합 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다.
소오스/드레인 컨택의 저항은, 쇼트키 장벽 높이를 얼마나 낮출 수 있느냐에 따라 결정될 수 있다. 소오스/드레인 컨택의 저항을 줄이는 방법으로, 도핑을 이용하여 쇼트키 장벽 높이를 낮추는 방법이 사용되고 있다.
일례로, pFET의 제조 공정에서, 이온주입(ion implantation) 공정으로 소오스/드레인 영역 내에 p형 불순물(예를 들어, 갈륨(Ga))을 도핑하여, 쇼트키 장벽 높이를 낮출 수 있다. 예를 들어, 도 7의 제1 층간 절연막(141)을 형성한 후에, 이온주입 공정으로 제1 소오스/드레인 영역(131) 내에 p형 불순물을 도핑할 수 있다. 그러나, 이와 같은 방법은 공정이 복잡하고 비용 상 불리한 측면이 있다.
이와 달리, 몇몇 실시예에 따른 반도체 장치의 제조 방법은, 선택적 에피 공정 및 상기 선택적 에피 공정과 인시츄로 수행되는 도핑 공정을 이용하여 소오스/드레인 영역을 형성하므로, 간결한 방법으로 컨택 저항을 개선할 수 있다. 예를 들어, 몇몇 실시예에 따른 반도체 장치의 제조 방법은, 도 7의 제1 층간 절연막(141)을 형성한 후에, 제1 소오스/드레인 영역(131) 내에 p형 불순물을 도핑하지 않을 수 있다.
또한, 몇몇 실시예에 따른 반도체 장치의 제조 방법은, 갈륨(Ga) 및 붕소(B)가 도핑된 Si1 - xGex (여기서, x는 0<x<1)을 포함하는 소오스/드레인 영역을 형성할 수 있다. 실리콘 게르마늄(SiGe)에 대한 갈륨(Ga)의 고체 용해도(solid solubility)는 실리콘 게르마늄(SiGe)에 대한 붕소(B)의 고체 용해도보다 높으므로, 몇몇 실시예에 따른 반도체 장치의 제조 방법은, 보다 효율적으로 쇼트키 장벽 높이를 낮추는 소오스/드레인 영역을 제공할 수 있다. 즉, 몇몇 실시예에 따른 반도체 장치의 제조 방법은, 붕소(B)만이 도핑된 실리콘 게르마늄(SiGe)보다 개선된 컨택 저항을 갖는 소오스/드레인 영역을 제공할 수 있다.
도 9 내지 도 14는 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다. 설명의 편의를 위해, 도 1 내지 도 8을 참조하여 설명한 것과 중복되는 것은 간략히 설명하거나 생략한다.
참고적으로, 도 9 내지 도 14는 도 1의 A-A'를 따라 절단한 단면도들이다. 또한, 도 9 내지 도 14는 도 6 이후의 공정을 설명하기 위한 도면들이다.
도 9를 참조하면, 제1 게이트 전극(G1) 및 제2 게이트 전극(G2)을 노출시키는 제2 층간 절연막(142)을 형성한다.
먼저, 도 6의 결과물 상에 제2 층간 절연막(142)을 형성할 수 있다. 제2 층간 절연막(142)은 예를 들어, 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO2), 실리콘 산탄질화물(SiOCN) 또는 이들의 조합 중 적어도 하나를 포함할 수 있다.
이어서, 제2 층간 절연막(142)에 평탄화 공정을 수행할 수 있다. 평탄화 공정은 제1 게이트 전극(G1)의 상면 및 제2 게이트 전극(G2)의 상면이 노출될 때까지 수행될 수 있다. 평탄화 공정은 예를 들어, CMP(chemical mechanical polishing) 공정을 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
도 10을 참조하면, 제1 게이트 절연막(111), 제2 게이트 절연막(112), 제1 게이트 전극(G1) 및 제2 게이트 전극(G2)을 제거한다.
이에 따라, 제1 게이트 절연막(111), 제2 게이트 절연막(112), 제1 게이트 전극(G1) 및 제2 게이트 전극(G2)이 제거된 영역에 트렌치(TR)가 형성될 수 있다. 즉, 제1 핀형 패턴(F1)의 상면을 노출시키는 트렌치(TR)가 형성될 수 있다.
도 11을 참조하면, 트렌치(TR) 내에 제1 인터페이스막(105), 제2 인터페이스막(106), 제1 절연막(111a), 제1 금속막(MG1) 및 제2 금속막(MG2)을 형성한다.
먼저, 제1 스페이서(121) 사이의 제1 핀형 패턴(F1) 상에 제1 인터페이스막(105)을 형성하고, 제2 스페이서(122) 사이의 제1 핀형 패턴(F1) 상에 제2 인터페이스막(106)을 형성할 수 있다.
제1 인터페이스막(105) 및 제2 인터페이스막(106)은 예를 들어, 실리콘 산화물을 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 제1 핀형 패턴(F1)의 종류 또는 제1 절연막(111a)의 종류 등에 따라, 제1 인터페이스막(105) 및 제2 인터페이스막(106)은 다른 물질을 포함할 수도 있다.
몇몇 실시예에서, 제1 인터페이스막(105) 및 제2 인터페이스막(106)은 생략될 수도 있다.
이어서, 제2 층간 절연막(142)의 상면, 트렌치(TR)의 측벽, 제1 인터페이스막(105)의 상면 및 제2 인터페이스막(106)의 상면의 프로파일을 따라 연장되는 제1 절연막(111a)을 형성할 수 있다.
제1 절연막(111a)은 예를 들어, 실리콘 산화막보다 높은 유전 상수를 갖는 고유전체 물질을 포함할 수 있다. 예를 들어, 제1 절연막(111a)은 하프늄 산화물(hafnium oxide), 하프늄 실리콘 산화물(hafnium silicon oxide), 란타늄 산화물(lanthanum oxide), 란타늄 알루미늄 산화물(lanthanum aluminum oxide), 지르코늄 산화물(zirconium oxide), 지르코늄 실리콘 산화물(zirconium silicon oxide), 탄탈륨 산화물(tantalum oxide), 티타늄 산화물(titanium oxide), 바륨 스트론튬 티타늄 산화물(barium strontium titanium oxide), 바륨 티타늄 산화물(barium titanium oxide), 스트론튬 티타늄 산화물(strontium titanium oxide), 이트륨 산화물(yttrium oxide), 알루미늄 산화물(Aluminum oxide), 납 스칸듐 탄탈륨 산화물(lead scandium tantalum oxide), 납 아연 니오브산염(lead zinc niobate) 또는 이들의 조합 중 적어도 하나를 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
이어서, 제1 절연막(111a)의 상면 및 측벽의 프로파일을 따라 연장되는 제1 금속막(MG1)을 형성하고, 제1 금속막(MG1) 상에 제2 금속막(MG2)을 형성할 수 있다. 제1 금속막(MG1)은 일함수를 조절하고, 제2 금속막(MG2)은 제1 금속막(MG1)에 의해 형성된 공간을 채울 수 있다.
제1 금속막(MG1)은 예를 들어, TiN, TaN, TiC, TaC 또는 이들의 조합 중 적어도 하나를 포함할 수 있다. 제2 금속막(MG2)은 예를 들어, W, Al 또는 이들의 조합 중 적어도 하나를 포함할 수 있다. 몇몇 실시예에서, 제1 금속막(MG1) 또는 제2 금속막(MG2)은 금속이 아닌, 실리콘(Si) 또는 실리콘 게르마늄(SiGe) 등을 포함할 수도 있다.
도 12를 참조하면, 제2 층간 절연막(142)의 상면이 노출될 때까지 평탄화 공정을 수행할 수 있다.
평탄화 공정은 예를 들어, CMP 공정을 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
이에 따라, 제1 인터페이스막(105) 상에 제3 게이트 절연막(113) 및 제3 게이트 전극(G3)이 형성될 수 있다. 또한, 제2 인터페이스막(106) 상에 제4 게이트 절연막(114) 및 제4 게이트 전극(G4)이 형성될 수 있다.
예를 들어, 제1 인터페이스막(105)의 상면 및 트렌치(도 10의 TR)의 측벽을 따라 연장되는 제3 게이트 절연막(113)이 형성될 수 있다. 또한, 제3 게이트 절연막(113)의 상면 및 측벽을 따라 연장되는 제1 금속막(MG1)과, 제1 금속막(MG1) 상의 제2 금속막(MG2)을 포함하는 제3 게이트 전극(G3)이 형성될 수 있다.
마찬가지로, 제2 인터페이스막(106)의 상면 및 트렌치(도 10의 TR)의 측벽을 따라 연장되는 제4 게이트 절연막(114)이 형성될 수 있다. 또한, 제4 게이트 절연막(114)의 상면 및 측벽을 따라 연장되는 제1 금속막(MG1)과, 제1 금속막(MG1) 상의 제2 금속막(MG2)을 포함하는 제4 게이트 전극(G4)이 형성될 수 있다.
도 13을 참조하면, 제2 층간 절연막(142), 제3 게이트 전극(G3) 및 제4 게이트 전극(G4) 상에 제3 층간 절연막(240)을 형성한다.
제3 층간 절연막(240)은 예를 들어, 제2 층간 절연막(142)과 동일한 물질을 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
이어서, 제2 층간 절연막(142)의 일부 및 제3 층간 절연막(240)의 를 식각하여, 제1 소오스/드레인 영역(131)의 일부를 노출시키는 제2 컨택홀(H2)을 형성할 수 있다.
이에 따라, 제1 소오스/드레인 영역(131)의 일부를 노출시키는 제2 층간 절연막(142) 및 제3 층간 절연막(240)이 형성될 수 있다.
도 14를 참조하면, 제2 컨택홀(H2) 내에 제1 컨택(150)을 형성한다.
제1 컨택(150)을 형성하는 것은, 도 8에 관한 설명에서 상술한 것과 실질적으로 동일하므로, 이하에서 자세한 설명은 생략한다.
도 15 내지 도 25는 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다. 설명의 편의를 위해, 도 1 내지 도 8을 참조하여 설명한 것과 중복되는 것은 간략히 설명하거나 생략한다.
참고적으로, 도 15는 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 레이아웃도이다. 도 16 내지 도 25는 도 15의 B-B' 및 C-C'를 따라 절단한 단면도들이다.
도 15 및 도 16을 참조하면, 기판(100) 상에 제2 핀형 패턴(F3) 및 제3 핀형 패턴(F4)을 형성한다.
기판(100)은 제1 영역(I) 및 제2 영역(II)을 포함할 수 있다. 제1 영역(I) 및 제2 영역(II)은 서로 이격된 영역일 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니고, 제1 영역(I) 및 제2 영역(II)은 서로 인접하는 영역일 수도 있다.
몇몇 실시예에서, 기판(100)의 제1 영역(I)은 pFET이 형성되는 영역이고, 기판(100)의 제2 영역(II)은 nFET이 형성되는 영역일 수 있다.
제2 핀형 패턴(F3)은 기판(100)의 제1 영역(I)으로부터 돌출되어 제3 방향(X2)을 따라 길게 연장될 수 있다. 제3 핀형 패턴(F4)은 기판(100)의 제2 영역(II)으로부터 돌출되어 제5 방향(X3)을 따라 길게 연장될 수 있다.
제2 핀형 패턴(F3) 및 제3 핀형 패턴(F4)은 기판(100)의 일부일 수도 있고, 기판(100)으로부터 성장된 에피층(epitaxial layer)을 포함할 수도 있다.
이어서, 제2 핀형 패턴(F3) 상에 제3 게이트 절연막(113), 제4 게이트 절연막(114), 제3 게이트 전극(G3) 및 제4 게이트 전극(G4)을 형성한다. 또한, 제3 핀형 패턴(F4) 상에 제5 게이트 절연막(115), 제6 게이트 절연막(116), 제5 게이트 전극(G5) 및 제6 게이트 전극(G6)을 형성한다.
제3 게이트 절연막(113) 및 제4 게이트 절연막(114)은 제3 방향(X2)에서 서로 이격될 수 있다. 또한, 제3 게이트 절연막(113) 및 제4 게이트 절연막(114)은 제3 방향(X2)과 교차하는 제4 방향(Y2)을 따라 길게 연장될 수 있다.
제5 게이트 절연막(115) 및 제6 게이트 절연막(116)은 제5 방향(X3)에서 서로 이격될 수 있다. 또한, 제5 게이트 절연막(115) 및 제6 게이트 절연막(116)은 제5 방향(X3)과 교차하는 제6 방향(Y3)을 따라 길게 연장될 수 있다.
몇몇 실시예에서, 제3 방향(X2) 및 제5 방향(X3)은 실질적으로 동일하고, 제4 방향(Y2) 및 제6 방향(Y3)은 실질적으로 동일할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 예를 들어, 제3 방향(X2) 및 제5 방향(X3)이 서로 다를 수도 있고, 제4 방향(Y2) 및 제6 방향(Y3)이 서로 다를 수도 있다.
도 17을 참조하면, 도 16의 결과물 상에 제2 절연막(120)을 형성한다.
이에 따라, 제2 핀형 패턴(F3)의 상면, 제3 핀형 패턴(F4)의 상면, 제3 게이트 전극(G3)의 상면 및 측벽, 제4 게이트 전극(G4)의 상면 및 측벽의 프로파일을 따라 연장되는 제2 절연막(120)이 형성될 수 있다.
제2 절연막(120)은 예를 들어, 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO2), 실리콘 산탄질화물(SiOCN) 또는 이들의 조합 중 적어도 하나를 포함할 수 있다.
이어서, 기판(100)의 제1 영역(I) 상의 제2 절연막(120)을 노출시키는 제1 마스크 패턴(M1)을 형성한다. 즉, 제1 마스크 패턴(M1)은 기판(100)의 제2 영역(II) 상에 형성되어, 제1 영역(I) 상의 제2 절연막(120)을 노출시킬 수 있다.
제1 마스크 패턴(M1)은 예를 들어, 포토레지스트(photoresist)를 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
도 18을 참조하면, 제3 스페이서(123), 제4 스페이서(124) 및 제2 리세스(R2)를 형성한다.
제3 스페이서(123) 및 제4 스페이서(124)는 제1 마스크 패턴(M1)을 식각 마스크로 이용하는 식각 공정에 의해 형성될 수 있다. 이에 따라, 제3 게이트 절연막(113)의 양 측벽 및 제3 게이트 전극(G3)의 양 측벽 상에 제3 스페이서(123)가 형성될 수 있다. 또한, 제4 게이트 절연막(114)의 양 측벽 및 제4 게이트 전극(G4)의 양 측벽 상에 제4 스페이서(124)가 형성될 수 있다.
제2 리세스(R2)는 제3 게이트 전극(G3), 제4 게이트 전극(G4), 제3 스페이서(123) 및 제4 스페이서(124)를 식각 마스크로 이용하는 식각 공정에 의해 형성될 수 있다. 이에 따라, 제2 핀형 패턴(F3) 내에, 제3 게이트 전극(G3)의 측벽 및 제4 게이트 전극(G4)의 측벽에 인접하는 제2 리세스(R2)가 형성될 수 있다.
이어서, 제1 마스크 패턴(M1)을 제거할 수 있다.
도 19를 참조하면, 제2 리세스(R2) 내에 p형 불순물을 포함하는 제2 소오스/드레인 영역(132)을 형성한다.
제2 소오스/드레인 영역(132)을 형성하는 것은, 제1 선택적 에피 공정 및 상기 제1 선택적 에피 공정과 인시츄로 수행되는 제1 도핑 공정을 이용할 수 있다.
제2 소오스/드레인 영역(132)을 형성하는 것은, 도 6의 제1 소오스/드레인 영역(131)을 형성하는 것과 실질적으로 동일하므로, 이하에서 자세한 설명은 생략한다.
도 20을 참조하면, 도 19의 결과물 상에 제3 절연막(220)을 형성한다.
기판(100)의 제1 영역(I)에서, 제3 절연막(220)은 제2 소오스/드레인 영역(132)의 상면, 제3 스페이서(123)의 상면, 제4 스페이서(124)의 상면, 제3 게이트 전극(G3)의 상면 및 제4 게이트 전극(G4)의 상면의 프로파일을 따라 연장될 수 있다. 기판(100)의 제2 영역(II)에서, 제3 절연막(220)은 제2 절연막(120)의 상면의 프로파일을 따라 연장될 수 있다.
제3 절연막(220)은 예를 들어, 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO2), 실리콘 산탄질화물(SiOCN) 또는 이들의 조합 중 적어도 하나를 포함할 수 있다.
이어서, 제2 영역(II) 상의 제3 절연막(220)을 노출시키는 제2 마스크 패턴(M2)을 형성한다. 즉, 제2 마스크 패턴(M2)은 기판(100)의 제1 영역(I) 상에 형성되어, 제2 영역(II) 상의 제3 절연막(220)을 노출시킬 수 있다.
제2 마스크 패턴(M2)은 예를 들어, 포토레지스트(photoresist)를 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
도 21을 참조하면, 제5 스페이서(125), 제6 스페이서(126) 및 제3 리세스(R3)를 형성한다.
제5 스페이서(125) 및 제6 스페이서(126)는 제2 마스크 패턴(M2)을 식각 마스크로 이용하는 식각 공정에 의해 형성될 수 있다. 이에 따라, 제5 게이트 절연막(115)의 양 측벽 및 제5 게이트 전극(G5)의 양 측벽 상에 제5 스페이서(125)가 형성될 수 있다. 또한, 제6 게이트 절연막(116)의 양 측벽 및 제6 게이트 전극(G6)의 양 측벽 상에 제6 스페이서(126)가 형성될 수 있다.
도 21에서, 제5 스페이서(125)의 두께 및 제6 스페이서(126)의 두께는, 제3 스페이서(123)의 두께 및 제4 스페이서(124)의 두께와 유사한 것으로 도시되었으나, 이는 설명의 편의를 위한 것일 뿐이고, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
제3 리세스(R3)는 제5 게이트 전극(G5), 제6 게이트 전극(G6), 제5 스페이서(125) 및 제6 스페이서(126)를 식각 마스크로 이용하는 식각 공정에 의해 형성될 수 있다. 이에 따라, 제3 핀형 패턴(F4) 내에, 제5 게이트 전극(G5)의 측벽 및 제6 게이트 전극(G6)의 측벽에 인접하는 제3 리세스(R3)가 형성될 수 있다.
이어서, 제2 마스크 패턴(M2)을 제거할 수 있다.
도 22를 참조하면, 제3 리세스(R3) 내에 제3 소오스/드레인 영역(134)을 형성한다.
예를 들어, 제2 선택적 에피 공정을 수행하여, 제3 리세스(R3) 내에 제3 소오스/드레인 영역(134)을 형성할 수 있다. 상기 제2 선택적 에피 공정은 예를 들어, 화학기상증착 공정을 포함할 수 있다.
몇몇 실시예에서, 제3 소오스/드레인 영역(134)은 상승된(elevated) 소오스/드레인 영역일 수 있다. 즉, 제3 소오스/드레인 영역(134)의 최상부는 제3 핀형 패턴(F4)의 최상면보다 위로 돌출될 수 있다.
몇몇 실시예에서, 제3 소오스/드레인 영역(134)을 형성한 후에, 제4 절연막(미도시)을 형성하는 것을 더 포함할 수 있다. 예를 들어, 제3 소오스/드레인 영역(134)을 형성한 후에, 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO2), 실리콘 산탄질화물(SiOCN) 또는 이들의 조합 중 적어도 하나를 포함하는 제4 절연막을 형성할 수 있다.
도 22에서, 제3 절연막(220) 및 상기 제4 절연막은 제거되는 것으로 도시되었으나, 이는 설명의 편의를 위한 것일 뿐이고, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 예를 들어, 제3 절연막(220) 및 상기 제4 절연막은, 기판(100)의 제1 영역(I) 또는 제2 영역(II) 상에 남아 있을 수도 있다.
도 23을 참조하면, 제2 소오스/드레인 영역(132)의 일부 및 제3 소오스/드레인 영역(134)의 일부를 노출시키는 제4 층간 절연막(143)을 형성한다.
예를 들어, 제2 소오스/드레인 영역(132)의 일부를 노출시키는 제3 컨택홀(H3)과, 제3 소오스/드레인 영역(134)의 일부를 노출시키는 제4 컨택홀(H4)을 포함하는 제4 층간 절연막(143)을 형성할 수 있다.
먼저, 도 22에 따른 결과물 상에 제4 층간 절연막(143)을 형성할 수 있다. 제4 층간 절연막(143)은 예를 들어, 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO2), 실리콘 산탄질화물(SiOCN) 또는 이들의 조합 중 적어도 하나를 포함할 수 있다.
이어서, 제4 층간 절연막(143)의 일부를 식각하여, 제2 소오스/드레인 영역(132)의 일부를 노출시키는 제3 컨택홀(H3) 및 제3 소오스/드레인 영역(134)의 일부를 노출시키는 제4 컨택홀(H4)을 형성할 수 있다.
도 24를 참조하면, 기판(100)의 제2 영역(II) 상의 제4 층간 절연막(143) 및 제3 소오스/드레인 영역(134)의 일부를 노출시키는 제3 마스크 패턴(M3)을 형성한다. 즉, 제3 마스크 패턴(M3)은 기판(100)의 제1 영역(I) 상에 형성되어, 제3 소오스/드레인 영역(134)의 일부를 노출시킬 수 있다.
이어서, 노출된 제3 소오스/드레인 영역(134) 내에 제1 n형 불순물을 도핑하는 제2 도핑 공정을 수행할 수 있다. 예를 들어, 도시된 것처럼, 제4 컨택홀(H4)을 이용하여 제3 소오스/드레인 영역(134) 내에 제1 n형 불순물을 도핑할 수 있다.
상기 제1 n형 불순물은 예를 들어, 인(P), 비소(As), 안티몬(Sb) 또는 이들의 조합 중 적어도 하나를 포함할 수 있다. 또한, 상기 제2 도핑 공정은 예를 들어, 이온주입 공정을 포함할 수 있다.
이어서, 제3 마스크 패턴(M3)을 제거할 수 있다.
도 25를 참조하면, 제3 컨택홀(H3) 내에 제2 컨택(250)을 형성하고, 제4 컨택홀(H4) 내에 제3 컨택(350)을 형성한다.
제2 컨택(250)은 예를 들어, 제2 실리사이드막(252), 제3 도전막(254) 및 제4 도전막(256)을 포함할 수 있다. 제3 컨택(350)은 예를 들어, 제3 실리사이드막(352), 제5 도전막(354) 및 제6 도전막(356)을 포함할 수 있다.
제2 컨택(250) 및 제3 컨택(350)을 형성하는 것은, 도 8의 제1 컨택(150)을 형성하는 것과 실질적으로 동일하므로, 이하에서 자세한 설명은 생략한다.
몇몇 실시예에서, 제2 컨택(250) 및 제3 컨택(350)은 실질적으로 동일하게 형성될 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 예를 들어, 제2 컨택(250) 및 제3 컨택(350)은 동일한 물질로 구성될 수도 있고, 서로 다른 물질로 구성될 수도 있다.
도 26은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면이다. 설명의 편의를 위해, 도 1 내지 도 25를 참조하여 설명한 것과 중복되는 것은 간략히 설명하거나 생략한다.
참고적으로, 도 26은 도 15의 B-B' 및 C-C'를 따라 절단한 단면도이다. 또한, 도 26은 도 21 이후의 공정을 설명하기 위한 도면이다.
도 26 및 도 22를 참조하면, 제3 리세스(R3) 내에 제2 n형 불순물을 포함하는 제3 소오스/드레인 영역(134)을 형성한다.
상기 제2 n형 불순물은 예를 들어, 인(P), 비소(As), 안티몬(Sb) 또는 이들의 조합 중 적어도 하나를 포함할 수 있다.
제3 소오스/드레인 영역(134)을 형성하는 것은, 상기 제2 선택적 에피 공정 및 상기 제2 선택적 에피 공정과 인시츄로 수행되는 제3 도핑 공정을 이용할 수 있다.
예를 들어, 도 26에 도시된 것처럼, 제2 소오스 가스(P5) 및 제2 도핑 가스(P6)를 이용하는 화학기상증착 공정을 수행하여, 상기 제2 선택적 에피 공정 및 상기 제3 도핑 공정을 수행할 수 있다.
몇몇 실시예에서, 제2 소오스 가스(P5)는 실리콘 전구체(Si precursor)를 포함할 수 있다. 이에 따라, 실리콘(Si)을 포함하는 제3 소오스/드레인 영역(134)이 형성될 수 있다. 실리콘 전구체는 예를 들어, SiCl2 (dichlorosilane)을 포함할 수 있으나, 이에 제한되는 것은 아니다.
제2 도핑 가스(P6)는 n형 불순물 전구체를 포함할 수 있다. 예를 들어, 제2 도핑 가스(P6)는 인(P), 비소(As), 안티몬(Sb) 또는 이들의 조합 중 적어도 하나의 전구체를 포함할 수 있다.
몇몇 실시예에서, 제2 소오스 가스(P5)는 실리콘 전구체를 포함하고, 제2 도핑 가스(P6)는 인 전구체를 포함할 수 있다. 이에 따라, 인(P)이 도핑된 실리콘(Si)을 포함하는 제3 소오스/드레인 영역(134)이 형성될 수 있다.
이어서, 도 22 내지 도 25를 참조하여 설명한 것과 동일한 공정이 수행될 수 있다.
이상 첨부된 도면을 참조하여 본 발명의 실시예들을 설명하였으나, 본 발명은 상기 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 제조될 수 있으며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
100: 기판 111, 112: 게이트 절연막
121, 122: 스페이서 131: 제1 소오스/드레인 영역
141: 제1 층간 절연막 150: 제1 컨택
F1: 제1 핀형 패턴 G1, G2: 게이트 전극
R1: 제1 리세스 SG: 제1 소오스 가스
DG: 제1 도핑 가스 H1: 제1 컨택홀

Claims (10)

  1. 기판 상에 핀형 패턴을 형성하고,
    상기 핀형 패턴 상에, 상기 핀형 패턴과 교차하는 제1 게이트 전극을 형성하고,
    상기 핀형 패턴 내에, 상기 제1 게이트 전극의 측벽에 인접하는 리세스를 형성하고,
    소오스 가스 및 도핑 가스를 이용하는 화학기상증착 공정을 수행하여, 상기 리세스 내에 소오스/드레인 영역을 형성하는 것을 포함하고,
    상기 소오스 가스는 실리콘 전구체 및 게르마늄 전구체를 포함하고,
    상기 도핑 가스는 갈륨 전구체 및 붕소 전구체를 포함하는 반도체 장치의 제조 방법.
  2. 제 1항에 있어서,
    상기 소오스/드레인 영역을 형성한 후에,
    상기 소오스/드레인 영역의 일부를 노출시키는 컨택홀을 포함하는 층간 절연막을 형성하고,
    상기 컨택홀 내에 컨택을 형성하는 것을 더 포함하는 반도체 장치의 제조 방법.
  3. 제 2항에 있어서,
    상기 층간 절연막을 형성한 후에,
    상기 소오스/드레인 영역 내에 p형 불순물을 비도핑하는 것을 포함하는 반도체 장치의 제조 방법.
  4. 제 2항에 있어서,
    상기 컨택을 형성하는 것은, 상기 소오스/드레인 영역과 접촉하는 실리사이드막을 형성하고, 상기 실리사이드막 상에 도전막을 형성하는 것을 포함하는 반도체 장치의 제조 방법.
  5. 제 1항에 있어서,
    상기 소오스/드레인 영역을 형성한 후에, 상기 제1 게이트 전극을 노출시키는 층간 절연막을 형성하고,
    상기 제1 게이트 전극을 제거하여 트렌치를 형성하고,
    상기 트렌치 내에 제2 게이트 전극을 형성하는 것을 더 포함하는 반도체 장치의 제조 방법.
  6. 기판 상에 핀형 패턴을 형성하고,
    상기 핀형 패턴 상에, 상기 핀형 패턴과 교차하는 게이트 전극을 형성하고,
    상기 핀형 패턴 내에, 상기 게이트 전극의 측벽에 인접하는 리세스를 형성하고,
    선택적 에피 공정 및 상기 선택적 에피 공정과 인시츄(in-situ)로 수행되는 도핑 공정을 이용하여, 상기 리세스 내에 소오스/드레인 영역을 형성하는 포함하고,
    상기 소오스/드레인 영역은 갈륨(Ga) 및 붕소(B)가 도핑된 Si1 - xGex (여기서, x는 0<x<1임)를 포함하는 반도체 장치의 제조 방법.
  7. 제 6항에 있어서,
    상기 x는 0.4 내지 0.7인 반도체 장치의 제조 방법.
  8. 기판 상에 제1 핀형 패턴 및 제2 핀형 패턴을 형성하고,
    상기 제1 핀형 패턴 내에 제1 리세스를 형성하고,
    제1 선택적 에피 공정 및 상기 제1 선택적 에피 공정과 인시츄로 수행되는 제1 도핑 공정을 이용하여, 상기 제1 리세스 내에 p형 불순물을 포함하는 제1 소오스/드레인 영역을 형성하고,
    상기 제2 핀형 패턴 내에 제2 리세스를 형성하고,
    제2 선택적 에피 공정을 수행하여, 상기 제2 리세스 내에 제2 소오스/드레인 영역을 형성하고,
    상기 제2 소오스/드레인 영역을 형성한 후에, 상기 제2 소오스/드레인 영역 내에 제1 n형 불순물을 도핑하는 제2 도핑 공정을 수행하고,
    상기 제1 소오스/드레인 영역을 형성한 후에, 상기 제1 소오스/드레인 영역 내에 p형 불순물을 비도핑하는 것을 포함하는 반도체 장치의 제조 방법.
  9. 제 8항에 있어서,
    상기 제1 소오스/드레인 영역은 갈륨(Ga) 및 붕소(B)가 도핑된 Si1 - xGex (여기서, x는 0<x<1임)를 포함하는 반도체 장치의 제조 방법.
  10. 제 8항에 있어서,
    상기 제2 소오스/드레인 영역을 형성한 후에, 상기 제1 소오스/드레인 영역의 일부를 노출시키는 제1 컨택홀과, 상기 제2 소오스/드레인 영역의 일부를 노출시키는 제2 컨택홀을 포함하는 층간 절연막을 형성하는 것을 더 포함하고,
    상기 제2 도핑 공정을 수행하는 것은, 상기 제2 컨택홀을 이용하여 상기 제2 소오스/드레인 영역 내에 상기 제1 n형 불순물을 도핑하는 것을 포함하는 반도체 장치의 제조 방법.
KR1020170134699A 2017-10-17 2017-10-17 반도체 장치의 제조 방법 KR20190042977A (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020170134699A KR20190042977A (ko) 2017-10-17 2017-10-17 반도체 장치의 제조 방법
US16/051,635 US20190115451A1 (en) 2017-10-17 2018-08-01 Methods of fabricating semiconductor device
CN201811197947.0A CN109671676A (zh) 2017-10-17 2018-10-15 制造半导体器件的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170134699A KR20190042977A (ko) 2017-10-17 2017-10-17 반도체 장치의 제조 방법

Publications (1)

Publication Number Publication Date
KR20190042977A true KR20190042977A (ko) 2019-04-25

Family

ID=66096617

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170134699A KR20190042977A (ko) 2017-10-17 2017-10-17 반도체 장치의 제조 방법

Country Status (3)

Country Link
US (1) US20190115451A1 (ko)
KR (1) KR20190042977A (ko)
CN (1) CN109671676A (ko)

Families Citing this family (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP3832696A1 (en) 2019-12-06 2021-06-09 Imec VZW Formation of a sige(:b):ga layer
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11489057B2 (en) * 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
US20190115451A1 (en) 2019-04-18
CN109671676A (zh) 2019-04-23

Similar Documents

Publication Publication Date Title
KR20190042977A (ko) 반도체 장치의 제조 방법
US11133416B2 (en) Methods of forming semiconductor devices having plural epitaxial layers
US10141309B2 (en) Tight pitch inverter using vertical transistors
US9837410B1 (en) Fabrication of vertical field effect transistors with uniform structural profiles
CN107665864B (zh) 具有气隙间隔件的finfet及其形成方法
TW202029340A (zh) 半導體裝置及其形成方法
CN110581131A (zh) 半导体结构
US20230360974A1 (en) Silicon Phosphide Semiconductor Device
US20230352589A1 (en) Source/drain regions of finfet devices and methods of forming same
CN115566021A (zh) 半导体装置
CN113113408A (zh) 半导体装置
US20230036104A1 (en) Semiconductor devices
US20230420520A1 (en) Transistor Source/Drain Regions and Methods of Forming the Same
TWI796972B (zh) 半導體裝置與其形成之方法
US20230361199A1 (en) Replacement sidewall spacers
US20230420506A1 (en) Semiconductor device and manufacturing method thereof
CN220510030U (zh) 半导体结构
TWI836346B (zh) 半導體裝置和其形成方法
US20230068434A1 (en) Source/Drain Device and Method of Forming Thereof
US20230317785A1 (en) Source/Drain Regions of Semiconductor Device and Methods of Forming the Same
US20230377988A1 (en) Different Source/Drain Profiles for N-type FinFETs and P-type FinFETs
US20230065620A1 (en) Semiconductor device and method
TWI809473B (zh) 形成鰭式場效電晶體之方法
US20230155006A1 (en) Semiconductor Device and Method
US20220359653A1 (en) Source/drain regions of semiconductor device and method of forming the same