TWI836346B - 半導體裝置和其形成方法 - Google Patents

半導體裝置和其形成方法 Download PDF

Info

Publication number
TWI836346B
TWI836346B TW111105081A TW111105081A TWI836346B TW I836346 B TWI836346 B TW I836346B TW 111105081 A TW111105081 A TW 111105081A TW 111105081 A TW111105081 A TW 111105081A TW I836346 B TWI836346 B TW I836346B
Authority
TW
Taiwan
Prior art keywords
nanostructure
epitaxial region
epitaxial
region
layer
Prior art date
Application number
TW111105081A
Other languages
English (en)
Other versions
TW202245258A (zh
Inventor
劉威民
舒麗麗
李啟弘
育佳 楊
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202245258A publication Critical patent/TW202245258A/zh
Application granted granted Critical
Publication of TWI836346B publication Critical patent/TWI836346B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本公開提供一種半導體裝置,包括半導體基板上方的第一奈米結構、第一奈米結構上方的第二奈米結構、環繞第一奈米結構和第二奈米結構的閘極結構、在鄰近閘極結構的半導體基板中的第一磊晶區域,其中第一磊晶區域是第一摻雜半導體材料,以及第一磊晶區域上方的第二磊晶區域,其中第二磊晶區域鄰近於第一奈米結構和第二奈米結構,其中第二磊晶區域是不同於第一摻雜半導體材料的第二摻雜半導體材料。在一實施例中,第一摻雜半導體材料具有小於第二摻雜半導體材料的摻雜濃度。

Description

半導體裝置和其形成方法
本公開是關於半導體裝置和其形成方法,且特別是關於半導體裝置的源極/汲極區域。
半導體裝置可用於多種電子應用上,例如個人電腦、手機、數位相機和其他電子設備。通常製造半導體裝置是藉由在半導體基板上方依序沉積絕緣或介電層、導電層和半導體層的材料,並且使用微影圖案化多個材料層以形成電路組件和其上的元件。
半導體工業藉由不斷縮小最小特徵尺寸來持續改善多個電子組件(例如,電晶體、二極體、電阻器、電容器等)的積體密度,因此允許在指定區域中整合更多組件。然而,當最小特徵尺寸減少,可能產生需解決的其他問題。
根據本公開的實施例,一種半導體裝置包括在半導體基板上方的第一奈米結構、在第一奈米結構上方的第二 奈米結構、環繞第一奈米結構和第二奈米結構的閘極結構、在鄰近閘極結構的半導體基板中的第一磊晶區域,其中第一磊晶區域是第一摻雜半導體材料。半導體裝置還包括在第一磊晶區域上方的第二磊晶區域,其中第二磊晶區域鄰近第一奈米結構和第二奈米結構,其中第二磊晶區域是不同於第一摻雜半導體材料的第二摻雜半導體材料。
根據本公開的實施例,一種半導體裝置包括從基板突出的半導體鰭片,半導體鰭片包括第一凹槽。半導體裝置還包括在半導體鰭片上方的第一奈米結構、環繞第一奈米結構的閘極結構,以及鄰近第一奈米結構的第一源極/汲極區域。第一源極/汲極區域包括在第一凹槽中的第一磊晶區域,其中第一磊晶區域具有第一摻雜濃度。第一源極/汲極區域還包括在第一磊晶區域上的第二磊晶區域,其中第二磊晶區域具有大於第一摻雜濃度的第二摻雜濃度。
根據本公開的實施例,一種形成半導體裝置的方法包括以下步驟。在基板上形成一組奈米結構,其中此組奈米結構的各個奈米結構包括通道區域。在鄰近此組奈米結構的基板中形成凹槽。使用第一磊晶生長製程形成在凹槽中的第一磊晶區域,其中第一磊晶區域填充凹槽。使用不同於第一磊晶生長製程的第二磊晶生長製程形成在第一磊晶區域上的第二磊晶區域。在此組奈米結構上形成閘極結構,其中閘極結構環繞此組奈米結構的各個奈米結構的通道區域。
11,12:區域
20:分離線
50:基板
50N:n型區域
50P:p型區域
51,51A,51B,51C:第一半導體層
52,52A,52B,52C:第一奈米結構
53,53A,53B,53C:第二半導體層
54,54A,54B,54C:第二奈米結構
55:奈米結構
64:多層堆疊
66:鰭片
67:區域
68:隔離區域
70:虛擬介電層
71:虛擬閘極介電層
72:虛擬閘極層
74:遮罩層
76:虛擬閘極
78:遮罩
80:第一間隔物層
81:第一間隔物
82:第二間隔物層
83:第二間隔物
86:第一凹槽
90:內側間隔物
91:第一磊晶區域
92:第二磊晶區域
93:側壁磊晶區域
94:磊晶源極/汲極區域
95:接觸蝕刻停止層
96:第一層間介電層
98:第二凹槽
100:閘極介電層
102:閘極電極
104:閘極遮罩
106:第二層間介電層
108:第三凹槽
110:矽化物區域
112:源極/汲極接觸
114:閘極接觸
A-A',B-B',C-C':截面
D1:深度
D2,D3:距離
當結合附圖閱讀時,從以下詳細描述中可以最好地理解本公開的各方面。應注意,根據工業中的標準方法,各種特徵未按比例繪製。實際上,為了清楚地討論,可任意增加或減少各種特徵的尺寸。
第1圖繪示根據一些實施例的奈米結構場效電晶體裝置示例的立體圖。
第2圖、第3圖、第4圖、第5圖、第6A圖、第6B圖、第6C圖、第7A圖、第7B圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖、第10B圖、第11A圖、第11B圖、第11C圖、第11D圖、第11E圖、第12A圖、第12B圖、第12C圖、第13A圖、第13B圖、第13C圖、第14A圖、第14B圖、第14C圖、第15A圖、第15B圖、第15C圖、第15D圖、第15E圖、第16A圖、第16B圖、第16C圖、第16D圖、第16E圖、第17A圖、第17B圖、第17C圖、第18A圖、第18B圖、第18C圖、第19A圖、第19B圖、第19C圖、第20A圖、第20B圖、第20C圖、第21A圖、第21B圖、第21C圖、第22A圖、第22B圖、第22C圖、第23A圖、第23B圖、第23C圖、第24A圖、第24B圖和第24C圖是根據一些實施例製造奈米結構場效電晶體的中間階段的截面圖。
第25A圖、第25B圖和第25C圖是根據一些實施例的奈米結構場效電晶體裝置的截面圖。
為了實現提及主題的不同特徵,以下公開內容提供了許多不同的實施例或示例。以下描述組件、配置等的具體示例以簡化本公開。當然,這些僅僅是示例,而不是限制性的。例如,在以下的描述中,在第二特徵之上或上方形成第一特徵可以包括第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包括在第一特徵和第二特徵之間形成附加特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本公開可以在各種示例中重複參考數字和/或字母。此重複是為了簡單和清楚的目的,並且本身並不表示所討論的各種實施例和/或配置之間的關係。
此外,本文可以使用空間相對術語,諸如「在…下面」、「在…下方」、「下部」、「在…上面」、「上部」等,以便於描述一個元件或特徵與如圖所示的另一個元件或特徵的關係。除了圖中所示的取向之外,空間相對術語旨在包括使用或操作中的裝置的不同取向。裝置可以以其他方式定向(旋轉90度或在其他方向上),並且同樣可以相應地解釋在此使用的空間相對描述符號。
多個實施例描述具有多個磊晶區域做為源極/汲極區域的p型奈米結構場效電晶體(nanostructure field-effect transistor,nano-FET)結構的形成。例如,源極/汲極區域可以包括形成在凹槽中的第一磊晶區域和形成在第一磊晶區域上方的第二磊晶區域。第一磊晶區 域和第二磊晶區域可以具有不同的成分及/或摻雜濃度。例如,形成的第一磊晶區域可以具有低於第二磊晶區域的摻雜、和第二磊晶區域相比相對極性的摻雜,或者和第二磊晶區域具有不同成分的半導體材料。其他差異也是可實行的。在一些示例中,可以控制第一磊晶區域的成分及/或摻雜以減少漏電流和改善裝置表現。
以下透過具體的內容(包括奈米結構場效電晶體的晶粒)描述實施例。然而,多種實施例或態樣可以應用於包括其他類型電晶體(例如,鰭片場效電晶體(fin field-effect transistor,finFET)、平面電晶體或類似者)的晶粒,並且替代或結合奈米結構場效電晶體。本文討論的一些實施例是關於使用後閘極(gate-last)製程形成奈米結構場效電晶體。在其他實施例中,可以使用先閘極(gate-first)製程。另外,一些實施例也考量用於平面裝置(例如平面場效電晶體)或鰭片場效電晶體中的態樣。例如,鰭片場效電晶體可以包括基板上的鰭片,其中鰭片做為鰭片場效電晶體的通道區域。相似地,平面場效電晶體可以包括基板,其中部分的基板做為平面場效電晶體的通道區域。
根據一些實施例,第1圖繪示奈米結構場效電晶體的示例(例如,奈米線場效電晶體、奈米片場效電晶體或類似者)的立體圖。為了清楚繪示,第1圖示出的奈米結構場效電晶體省略一些特徵。奈米結構場效電晶體可以是奈米片場效電晶體(nanosheet field-effect transistor, NSFET)、奈米線場效電晶體(nanowire field-effect transistor,NWFET)、閘極全環繞場效電晶體(gate-all-around field-effect transistor,GAAFET)或類似者。第1圖中示出的奈米結構場效電晶體包括基板50(例如,半導體基板)上的鰭片66上方的奈米結構55(例如,奈米片、奈米線或類似者),其中奈米結構55做為奈米結構場效電晶體的通道區域。奈米結構55可以包括p型奈米結構、n型奈米結構或上述的組合。隔離區域68(例如,淺溝槽隔離區域)設置在鄰近的鰭片66之間,鰭片66可以突出在隔離區域68之上並且從相鄰的隔離區域68之間突出。儘管隔離區域68在此處中描述/繪示成與基板50分離的模樣,術語「基板」可以代表半導體基板自身或者半導體基板和隔離區域的組合。另外,儘管鰭片66的底部繪示成與基板50是單一連續材料,鰭片66的底部及/或基板50可以包括單一材料或複數個材料。在本文中,鰭片66代表延伸在相鄰的隔離區域68之間的部分。
閘極介電層100在鰭片66的頂表面上方並且沿著奈米結構55的頂表面、側壁和底表面。在這種方式下,閘極介電層100可以環繞部分的奈米結構55。閘極電極102在閘極介電層100上方並且環繞部分的閘極介電層100。磊晶源極/汲極區域94設置在閘極介電層100和閘極電極102的相對兩側的鰭片66上。在一些實施例中,磊晶源極/汲極區域94包括第一磊晶區域91上方的第二 磊晶區域92。
第1圖進一步繪示一些後續圖式中使用的參考截面。截面A-A'延伸穿過奈米結構場效電晶體的磊晶源極/汲極區域94,並且例如在垂直於奈米結構場效電晶體的磊晶源極/汲極區域94之間的電流方向的方向上。截面B-B'延伸穿過奈米結構場效電晶體的磊晶源極/汲極區域94,並且例如在平行於奈米結構場效電晶體的磊晶源極/汲極區域94之間的電流方向的方向上。在這種方式下,截面B-B'垂直於截面A-A',並且平行於奈米結構場效電晶體的鰭片66的縱軸。截面C-C'平行於截面A-A',並且沿著閘極電極102的縱軸延伸。為了清楚繪示,隨後的圖式將參考這些參考截面。
根據一些實施例,第2圖至第25C圖繪示製造奈米結構場效電晶體裝置的中間階段的多個截面圖。第2圖、第3圖、第4圖、第5圖、第6A圖、第7A圖、第8A圖、第9A圖、第10A圖、第13A圖、第14A圖、第17A圖、第17C圖、第18A圖、第19A圖、第20A圖、第21A圖、第22A圖、第23A圖、第24A圖和第25A圖繪示沿著第1圖中的參考截面A-A'的截面圖。第6B圖、第7B圖、第8B圖、第9B圖、第10B圖、第11A圖、第11B圖、第11C圖、第11D圖、第11E圖、第12A圖、第12B圖、第12C圖、第13B圖、第13C圖、第14B圖、第14C圖、第15A圖、第15B圖、第15C圖、第15D圖、第15E圖、第16A圖、第16B圖、第16C 圖、第16D圖、第16E圖、第17B圖、第18B圖、第19B圖、第20B圖、第21B圖、第22B圖、第23B圖、第24B圖和第25B圖繪示沿著第1圖中的參考截面B-B'的截面圖。第18C圖、第19C圖、第20C圖、第21C圖、第22C圖、第23C圖、第24C圖和第25C圖繪示沿著第1圖中的參考截面C-C'的截面圖。
在第2圖中,提供基板50。基板50可以是半導體基板,例如塊材半導體、絕緣體上半導體(semiconductor-on-insulator,SOI)基板或類似者,半導體基板可以是摻雜的(例如,摻雜p型或n型摻雜劑)或未摻雜的。基板50可以是晶圓,例如矽晶圓。一般而言,絕緣體上半導體基板是形成在絕緣體層上的半導體材料層。絕緣體層可以例如是埋藏式氧化物(buried oxide,BOX)層、氧化矽層或類似者。絕緣體層提供在基板上,通常是矽基板或玻璃基板。也可以使用其他基板,例如多層或漸變基板。在一些實施例中,基板50的半導體材料可以包括矽、鍺、化合物半導體(包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(包括矽鍺、砷磷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦及/或砷磷化鎵銦)或上述的組合。
基板50具有n型區域50N和p型區域50P。n型區域50N可以用於形成n型裝置,例如可以是n型奈米結構場效電晶體的N型金屬氧化物半導體(N-metal-oxide-semiconductor,NMOS)電晶體, 並且p型區域50P可以用於形成p型裝置,例如可以是p型奈米結構場效電晶體的P型金屬氧化物半導體(P-metal-oxide-semiconductor,PMOS)電晶體。n型區域50N可以與p型區域50P物理分離(如分離線20所繪示),並且任何數量的裝置特徵(例如,其他主動裝置、摻雜區域、隔離結構等)可以設置在n型區域50N和p型區域50P之間。儘管只繪示一個n型區域50N和一個p型區域50P,可以提供任何數量的n型區域50N和p型區域50P。
進一步在第2圖中,多層堆疊64形成在基板50上方。多層堆疊64包括第一半導體層51A至第一半導體層51C(集體稱為第一半導體層51)和第二半導體層53A至第二半導體層53C(集體稱為第二半導體層53)的交替層。第一半導體層51由第一半導體材料形成,並且第二半導體層53由第二半導體材料形成,各個半導體材料可以例如選自基板50的候選半導體材料。
在繪示實施例中,及如隨後進一步詳細所述,移除第一半導體層51且圖案化第二半導體層53以形成p型區域50P中的奈米結構場效電晶體的通道區域。在這種方式下,第一半導體層51可以視為在隨後製程中移除的犧牲層(或虛擬層)以暴露第二半導體層53的表面。因此,第一半導體層51的第一半導體材料可以是比第二半導體層53的第二半導體材料具有更高蝕刻選擇性的材料。例如,在一些實施例中,第一半導體材料可以是矽鍺或類似者,且第 二半導體材料可以是矽或類似者。其他材料也是可實行的。在一些實施例中,第二半導體材料是適合n型和p型裝置兩者的材料,例如矽。儘管未繪示,在一些實施例中可以使用相同的製程步驟移除第一半導體層51且圖案化第二半導體層53以形成n型區域50N中的奈米結構場效電晶體的通道區域。
做為繪示的示例,多層堆疊64繪示成包括三層第一半導體層51和三層第二半導體層53。在一些實施例中,多層堆疊64可以包括任何數量的第一半導體層51和第二半導體層53。可以使用例如化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)、氣相磊晶(vapor phase epitaxy,VPE)、分子束磊晶(molecular beam epitaxy,MBE)或類似製程磊晶生長多層堆疊64的各層。在一些實施例中,多層堆疊64的各層可以具有厚度在約5nm至約30nm的範圍中,然而其他厚度也是可實行的。在一些實施例中,形成的多層堆疊64的一些層(例如,第一半導體層51)薄於多層堆疊64的其他層(例如,第二半導體層53)。在其他實施例中,多層堆疊64的層具有大約相同的厚度。
根據一些實施例,第3圖至第25C圖繪示製造p型區域50P中的奈米結構場效電晶體的多個中間步驟。然而,所述實施例的一些態樣也可以應用於製造n型區域50N中的奈米結構場效電晶體。根據一些實施例,在第3圖中,在基板50中圖案化鰭片66並且在多層堆疊64中 圖案化奈米結構55。在一些實施例中,可以藉由例如蝕刻多層堆疊64和基板50中的溝槽來圖案化奈米結構55和鰭片66。鰭片66是在基板50中圖案化的半導體條。如第3圖中所示,蝕刻多層堆疊64從第一半導體層51定義出第一奈米結構52A至第一奈米結構52C(集體稱為第一奈米結構52)且從第二半導體層53定義出第二奈米結構54A至第二奈米結構54C(集體稱為第二奈米結構54)。在這種方式下,奈米結構55包括從第一半導體層51的剩餘部分形成的第一奈米結構52以及從第二半導體層53的剩餘部分形成的第二奈米結構54。可以使用任何可接受的蝕刻製程執行蝕刻,例如反應性離子蝕刻(reactive ion etch,RIE)、中性粒子束蝕刻(neutral beam etch,NBE)、類似者或上述的組合。蝕刻可以是各向異性的。
可以藉由任何適合的方法圖案化鰭片66和奈米結構55。例如,可以使用一或多個光刻製程圖案化鰭片66和奈米結構55,包括雙圖案化或多圖案化製程。一般而言,雙圖案化或多圖案化製程結合光刻和自對準製程,允許創造的圖案具有例如間距是小於使用單一直接光刻製程所獲得的間距。例如,在一實施例中,形成犧牲層在基板上方且使用光刻製程圖案化。沿著圖案化犧牲層的側邊使用自對準製程形成間隔物。接著移除犧牲層,且可以接著使用剩餘間隔物做為遮罩以圖案化鰭片66和奈米結構55。在一些實施例中,可以保留遮罩在奈米結構55上。
做為繪示的示例,第3圖將鰭片66繪示成具有實 質上垂直的側壁。在一些實施例中,鰭片66及/或奈米結構55可以具有錐形側壁,使得各個鰭片66及/或奈米結構55的寬度朝向基板50的方向連續增加。在這樣的實施例中,奈米結構55可以具有不同的寬度且可以具有梯形形狀。在一些實施例中,鰭片66和奈米結構55可以各個具有寬度在約8nm至約40nm的範圍中,然而其他寬度也是可實行的。
在第4圖中,淺溝槽隔離(shallow trench isolation,STI)區域68形成在基板50上方和在鄰近的鰭片66之間。淺溝槽隔離區域68設置在至少部分的鰭片66周圍,使得至少部分的奈米結構55從鄰近的淺溝槽隔離區域68之間突出。淺溝槽隔離區域68的頂表面可以高於、大約齊平於或低於鰭片66的頂表面。淺溝槽隔離區域68分離鄰近裝置的特徵。
形成淺溝槽隔離區域68可以藉由例如沉積絕緣材料在基板50、鰭片66和奈米結構55上方以及在鄰近的鰭片66之間。可以是氧化物,例如氧化矽、氮化物、類似者或上述的組合,其中可以藉由化學氣相沉積製程形成絕緣材料,例如高密度電漿化學氣相沉積(high density plasma CVD,HDP-CVD)、流動式化學氣相沉積(flowable chemical vapor deposition,FCVD)、類似者或上述的組合。可以使用任何可接受的製程所形成的其他絕緣材料。在繪示的實施例中,絕緣材料是藉由流動式化學氣相沉積製程所形成的氧化矽。一旦形成絕緣材 料,可以執行退火製程。在一實施例中,形成絕緣材料使得多餘的絕緣材料覆蓋奈米結構55。儘管絕緣材料繪示成單層,一些實施例可以使用多層。例如,在一些實施例中,可以先沿著基板50、鰭片66和奈米結構55的表面形成內襯(未特別繪示)。接著可以在內襯上方形成絕緣填充材料,絕緣填充材料可以類似於上述的絕緣材料。
接著對絕緣材料施加移除製程以移除奈米結構55上方的多餘絕緣材料。在一些實施例中,可以使用平坦化製程,例如化學機械研磨(chemical mechanical polish,CMP)、回蝕製程、類似者或上述的組合。平坦化製程暴露奈米結構55,使得完成平坦化製程之後,奈米結構55和絕緣材料的頂表面齊平。在遮罩保留在奈米結構55上的實施例中,平坦化製程可以暴露遮罩或移除遮罩。在平坦化製程之後,絕緣材料和遮罩(如果存在)或奈米結構55的頂表面共平面(在製程誤差內)。因此,穿過絕緣材料暴露遮罩(如果存在)或奈米結構55的頂表面。在繪示的實施例中,沒有遮罩保留在奈米結構55上。
根據一些實施例,接著凹陷絕緣材料以形成淺溝槽隔離區域68。凹陷絕緣材料使得鰭片66的上部從相鄰的淺溝槽隔離區域68之間突出。淺溝槽隔離區域68的頂表面可以具有平坦表面(如圖所繪示)、凸形表面、凹形表面(例如碟形)或上述的組合。可以藉由適當的蝕刻製程形成平面、凸形及/或凹形的淺溝槽隔離區域68的頂表面。可以使用可接受的蝕刻製程凹陷絕緣材料,例如對絕緣材料 的材料具有選擇性(例如,選擇性地蝕刻鰭片66和奈米結構55的材料上方的絕緣材料)。例如,可以使用例如稀釋的氟化氫(dilute hydrofluoric,dHF)酸移除氧化物。
上述關於第2圖至第4圖的製程僅是如何可以形成鰭片66和奈米結構55的一個示例。在一些實施例中,可以使用遮罩和磊晶生長製程形成鰭片66及/或奈米結構55。例如,介電層可以形成在基板50的頂表面上方,且可以穿過介電層蝕刻溝槽以暴露下方的基板50。可以在溝槽中磊晶生長磊晶結構,且可以凹陷介電層使得磊晶結構從介電層突出以形成鰭片66及/或奈米結構55。磊晶結構可以包括交替的上述半導體材料,例如第一半導體材料和第二半導體材料。在磊晶生長磊晶結構的一些實施例中,磊晶生長材料可以在生長期間原位摻雜而可以避免預先及/或隨後的佈植,然而也可以一起使用原位和佈植摻雜。
另外,可以在鰭片66、奈米結構55及/或淺溝槽隔離區域68中形成適當的阱(未特別繪示)。可以藉由例如摻雜(例如,p型或n型雜質)形成阱。在一些實施例中,阱可以具有導電類型相對於將隨後形成在各個n型區域50N和p型區域50P中的源極/汲極區域的導電類型。在一些實施例中,p型阱或n型阱形成在n型區域50N和p型區域50P兩者中。在一些實施例中,p型阱形成在n型區域50N中,且n型阱形成在p型區域50P中。例如,n型雜質可以佈植進第4圖的p型區域50P中的鰭片66。n型雜質可以是佈植在區域中的磷、砷化物、銻或類似者, 佈植濃度在約每立方公分1013原子數(atoms/cm3)至約1014atoms/cm3的範圍中,然而其他濃度也是可實行的。
在具有不同阱類型的實施例中,可以針對n型區域50N和p型區域50P使用遮罩(未特別繪示)實現不同的佈植步驟,例如圖案化光阻。例如,光阻可以形成在n型區域50N和p型區域50P中的鰭片66和淺溝槽隔離區域68上方。圖案化光阻以暴露p型區域50P。可以使用旋塗技術來形成光阻且可以使用可接受的光刻技術來圖案化。一旦圖案化光阻,在p型區域50P中佈植n型雜質,且光阻可以做為遮罩以實質上避免n型雜質佈植進n型區域50N。在佈植之後移除光阻,例如藉由可接受的灰化製程。可以使用相似的技術以使用p型雜質佈植n型區域50N。
在佈植n型區域50N及/或p型區域50P之後,可以執行退火以修復佈植損壞且活化所佈植的p型及/或n型雜質。在一些實施例中,在生長期間可以原位摻雜磊晶鰭片的生長材料,而可以避免佈植,然而可以一起使用原位和佈植摻雜。
在第5圖中,虛擬介電層70形成在鰭片66及/或奈米結構55上。虛擬介電層70可以包括例如氧化矽、氮化矽、上述的組合或類似者,且可以根據可接受的技術沉積或熱生長虛擬介電層70。虛擬閘極層72形成在虛擬介電層70上方,且遮罩層74形成在虛擬閘極層72上方。 虛擬閘極層72可以沉積在虛擬介電層70上方並且接著平坦化,例如藉由化學機械研磨。遮罩層74可以沉積在虛擬閘極層72上方。虛擬閘極層72可以是導電或非導電材料,且可以選自包括非晶矽、多晶矽(poly-SiGe)、多晶矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物和金屬的群組。沉積虛擬閘極層72可以藉由物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、濺鍍沉積或其他技術沉積所選的材料。虛擬閘極層72可以由其他材料所製成,材料相對於蝕刻的隔離區域具有高蝕刻選擇性。遮罩層74可以例如包括氮化矽、氮氧化矽或類似者。在第5圖中,示出的虛擬介電層70僅覆蓋鰭片66和奈米結構55,但在其他實施例中,虛擬介電層70也可以覆蓋淺溝槽隔離區域68且延伸在鰭片66之間。
根據一些實施例,在第6A圖、第6B圖和第6C圖中,使用可接受的光刻和蝕刻技術圖案化遮罩層74(參考第5圖)以形成遮罩78。第6A圖繪示沿著第1圖中的參考截面A-A'的截面圖,第6B圖繪示沿著第1圖中的參考截面B-B'的截面圖,以及第6C圖繪示沿著第1圖中的參考截面C-C'的截面圖。使用可接受的蝕刻技術將遮罩78的圖案轉移至虛擬閘極層72以形成虛擬閘極76。可以自選地使用可接受的蝕刻技術將遮罩78的圖案轉移至虛擬介電層70以形成虛擬閘極介電層71。虛擬閘極76覆蓋將在隨後製程中暴露以形成通道區域的部分奈米結構55。具體而言,虛擬閘極76沿著將圖案化以形成通道區 域的部分第二奈米結構54延伸。虛擬閘極76可以具有長度方向實質上垂直於鰭片66的長度方向。在圖案化之後,可以自選地使用任何可接受的蝕刻技術移除遮罩。
根據一些實施例,在第7A圖和第7B圖中,第一間隔物層80和第二間隔物層82形成在第6A圖至第6C圖中繪示的結構上方。第7A圖繪示沿著第1圖中的參考截面A-A'的截面圖,第7B圖繪示沿著第1圖中的參考截面B-B'的截面圖。隨後圖案化第一間隔物層80和第二間隔物層82以形成第一間隔物81和第二間隔物83(參考第8A圖至第8B圖),可用於形成自對準的磊晶源極/汲極區域94(參考第17A圖至第17C圖)。第7A圖至第7B圖繪示形成兩個間隔物層(例如,第一間隔物層80和第二間隔物層82),但在其他實施例中,可形成單一間隔物層或多於兩個間隔物層。
在第7A圖和第7B圖中,第一間隔物層80形成在淺溝槽隔離區域68、鰭片66、奈米結構55、遮罩78、虛擬閘極76和虛擬閘極介電層71的暴露表面上。舉例而言,第一間隔物層80可以共形沉積。第二間隔物層82接著形成在第一間隔物層80上方,且也可以共形沉積。第一間隔物層80可以由氧化矽、氮化矽、氮氧化矽、氧碳氮化矽或類似者所形成,且使用適合的技術例如熱氧化、化學氣相沉積、原子層沉積或類似者。第二間隔物層82可以由例如氧化矽、氮化矽、氮氧化矽、氧碳氮化矽或類似材料所形成,且可以藉由化學氣相沉積、電漿增強化學氣相沉 積(plasma-enhanced CVD,PECVD)、原子層沉積、電漿增強原子層沉積(plasma-enhanced ALD,PEALD)或類似者所沉積。在一些實施例中,第一間隔物層80是不同於第二間隔物層82的材料。例如,第一間隔物層80和第二間隔物層82可以是具有不同蝕刻速率的不同材料。
在形成第一間隔物層80之後和在形成第二間隔物層82之前,可以為輕摻雜源極/汲極(lightly doped source/drain,LDD)區域(未特別繪示)執行佈植。在具有不同裝置類型的實施例中,類似於上方關於第4圖的佈植,例如光阻的遮罩可以形成在n型區域50N上方,同時暴露p型區域50P時,且適當類型(例如,p型)雜質可以佈植進p型區域50P中暴露的鰭片66和奈米結構55。可以接著移除遮罩。隨後,例如光阻的遮罩可以形成在p型區域50P上方同時暴露n型區域50N,且適當類型雜質(例如,n型)可以佈植進n型區域50N中暴露的鰭片66和奈米結構55。接著可以移除遮罩。n型雜質可以是任何前述的n型雜質,且p型雜質可以是任何前述的p型雜質。輕摻雜源極/汲極區域可以具有雜質濃度在約1x1015atoms/cm3至約1x1019atoms/cm3的範圍中。可以使用退火以修復佈植損壞且活化佈植雜質。
根據一些實施例,在第8A圖和第8B圖中,蝕刻第一間隔物層80和第二間隔物層82以形成第一間隔物81和第二間隔物83。如下文將進一步詳細描述,第一間隔物81和第二間隔物83促進隨後形成自對準的磊晶源極 /汲極區域94,且在隨後製程步驟期間保護鰭片66及/或奈米結構55的側壁。可以使用任何可接受的蝕刻製程蝕刻第一間隔物層80和第二間隔物層82,例如乾式蝕刻、濕式蝕刻、類似者或上述的組合。蝕刻可以是各向異性的。在一些實施例中,第二間隔物層82的材料具有和第一間隔物層80的材料不同的蝕刻速率。在這樣的實施例中,第一間隔物層80可以在圖案化第二間隔物層82時做為蝕刻停止層,且第二間隔物層82可以在圖案化第一間隔物層80時做為遮罩。例如,可以使用各向異性蝕刻製程且第一間隔物層80做為蝕刻停止層來蝕刻第二間隔物層82,以及第二間隔物層82的剩餘部分形成第二間隔物83(參考第8A圖)。在形成第二間隔物83之後,第二間隔物83在蝕刻第一間隔物層80的暴露部分時可以做為遮罩,從而形成第一間隔物81(參考第8A圖)。在蝕刻之後,第一間隔物81和第二間隔物83可以具有筆直側壁(如圖所繪示)或可以具有彎曲側壁(未特別繪示)。在其他實施例中,形成僅一組間隔物或多於兩組間隔物。
如第8A圖中所繪示,第一間隔物81和第二間隔物83設置在鰭片66及/或奈米結構55的側壁上。如第8B圖中所繪示,第一間隔物81可以延伸在遮罩78、虛擬閘極76和虛擬閘極介電層71的側壁上。仍參考第8B圖,在一些實施例中,可以從鄰近遮罩78、虛擬閘極76和虛擬閘極介電層71的第一間隔物層80上方移除第二間隔物層82。在其他實施例中,部分的第二間隔物層82可以保 留在鄰近遮罩78、虛擬閘極76和虛擬閘極介電層71的第一間隔物層80上方。
值得注意的是,上方公開內容大略描述形成間隔物和輕摻雜區域的製程。可以使用其他製程和順序。例如,可以使用更少或更多間隔物、可以使用不同步驟順序(例如,可以在沉積第二間隔物層82之前圖案化第一間隔物81),可以形成和移除額外的間隔物及/或類似者。
根據一些實施例,在第9A圖和第9B圖中,第一凹槽86形成在鰭片66、奈米結構55和基板50中。磊晶源極/汲極區域94(參考第17A圖至第17C圖)隨後形成在第一凹槽86中。第一凹槽86可以延伸穿過第一奈米結構52和第二奈米結構54且延伸進鰭片66。在一些實施例中,第一凹槽86延伸進基板50。如第9A圖中所繪示,淺溝槽隔離區域68的頂表面可以齊平於第一凹槽86的底表面。在其他實施例中,淺溝槽隔離區域68的頂表面可以高於或低於第一凹槽86的底表面。為了清楚繪示,在第9A圖和第9B圖中示出的第一凹槽86具有進入鰭片66的不同深度,但應注意第一凹槽86從不同截面中觀察時可以具有相同的深度。
形成第一凹槽86可以藉由使用一或多個蝕刻製程蝕刻鰭片66、奈米結構55和基板50。蝕刻製程可以包括濕式及/或乾式蝕刻製程,且可以包括各向同性及/或各向異性蝕刻製程。例如,蝕刻製程可以包括各向異性乾式蝕刻製程,例如反應性離子蝕刻、中性粒子束蝕刻或類似者。 在一些實施例中,蝕刻是使用氦(He)及/或氬(Ar)中的四氟化碳(CF4)、氟甲烷(CH3F)、溴化氫(HBr)和氧氣(O2)且使用偏壓電壓或偏壓電源產生電漿來執行的各向異性乾式蝕刻。在一些實施例中,蝕刻是使用氦(He)及/或氬(Ar)中的三氟化氮(NF3)、氯氣(Cl2)和氫氣(H2)來執行的各向同性乾式蝕刻。在一些實施例中,蝕刻製程可以包括各向異性濕式蝕刻製程,包括氫氧化鉀(KOH)、四甲基氫氧化銨(tetra-methyl ammonium hydroxide,TMAH)、乙二胺鄰苯二酚(ethylene di-amine pyro-catechol,EDP)、類似者或上述的組合。其他蝕刻製程也是可實行的。在蝕刻製程期間,第一間隔物81、第二間隔物83和遮罩78可以集體遮罩部分的鰭片66、奈米結構55和基板50。可以使用定時的蝕刻製程以在第一凹槽86抵達期望的深度之後停止蝕刻第一凹槽86。在一些實施例中,一或多個蝕刻製程可以或可以經由控制以實現第二奈米結構54的側壁的特定輪廓,例如下方第11A圖至第11E圖所描述,及/或實現鰭片66中的第一凹槽86的特定輪廓,例如下方第12A圖至第12C圖所描述。
根據一些實施例,在第10A圖和第10B圖中,凹陷第一奈米結構52的部分側壁。凹陷第一奈米結構52的側壁可以例如使用蝕刻製程,製程蝕刻第一凹槽86所暴露的第一奈米結構52的側壁。蝕刻製程可以是任何可接受的蝕刻製程,例如對第一奈米結構52的第一半導體材料具有選擇性(例如,相比第二奈米結構54的第二半導體材料, 選擇性地以更快速率蝕刻第一奈米結構52的材料)。蝕刻可以是各向同性的。例如,在第一奈米結構52由矽鍺形成且第二奈米結構54由矽或碳化矽形成的實施例中,蝕刻製程可以包括使用四甲基氫氧化銨、氫氧化銨(NH4OH)或類似者的選擇性濕式蝕刻。其他材料或蝕刻製程也是可實行的。在一些實施例中,可以執行相同的蝕刻製程以達到形成第一凹槽86以及凹陷第一奈米結構52的側壁兩者。在一些實施例中,在凹陷第一奈米結構52的側壁之後,鰭片66中的第一凹槽86可以具有深度D1在約5nm和約50nm的範圍中,然而其他深度也是可實行的。
在執行形成第一凹槽86的蝕刻製程及/或凹陷第一奈米結構52的側壁的蝕刻製程之後,第一凹槽86所暴露的第二奈米結構54的側壁可以具有多種輪廓。第11A圖至第11E圖繪示第10B圖中標示的區域11中的第二奈米結構54的不同側壁輪廓。在一些示例中,第二奈米結構54的側壁可以具有圓形凸形輪廓,如第11A圖所示。在一些示例中,第二奈米結構54的側壁可以具有多邊形凸形輪廓,如第11B圖所示。在一些示例中,第二奈米結構54的側壁可以具有實質上平坦輪廓,如第11C圖所示。實質上平坦的輪廓可以大約垂直或可以具有斜角(oblique angle)。在一些示例中,第二奈米結構54的側壁可以具有圓形凹形輪廓,如第11D圖所示。在一些示例中,第二奈米結構54的側壁可以具有多邊形凹形輪廓,如第11E圖所示。其他側壁輪廓也是可實行的。在這種方式下,上 述的一或多個蝕刻製程可以(或可以不)重塑第二奈米結構54的側壁。不同類型的蝕刻製程或不同的蝕刻參數可以形成不同的側壁輪廓。在一些示例中,特定的側壁輪廓可以提供裝置性質或裝置操作上的差異。在這種方式下,可以控制一或多個蝕刻製程的參數或類型以實現可以例如改善裝置操作的特定側壁輪廓。隨後示出的製程步驟將以第11A圖的實施例為示例,然而這些製程步驟可以對任何實施例執行。
在執行形成第一凹槽86的蝕刻製程及/或凹陷第一奈米結構52的側壁的蝕刻製程之後,鰭片66中的第一凹槽86可以具有多種輪廓。第12A圖至第12C圖繪示第10B圖中標示的區域12中的第一凹槽86的不同輪廓。在一些示例中,鰭片66中的第一凹槽86可以具有相對淺的輪廓,如第12A圖所示。相對淺的第一凹槽86的底表面可以是圓形、具有刻面(faceted)或平坦的。在一些示例中,鰭片66中的第一凹槽86可以具有相對深的圓形輪廓,如第12B圖所示。相對深的圓形第一凹槽86的底表面可以是弧形或平坦的。在一些示例中,鰭片66中的第一凹槽86可以具有相對深的多邊形輪廓,如第12C圖所示。相對深的輪廓可以是錐形或三角形,如第12C圖中所示,或者可以具有不同的多邊形形狀。例如,相對深的多邊形第一凹槽86的底表面可以是平坦的。其他輪廓也是可實行的。不同類型的蝕刻製程或不同的蝕刻參數可以形成不同的凹槽輪廓。在一些示例中,特定的凹槽輪廓可以提供裝置性 質或裝置操作上的差異。例如,一些凹槽輪廓可以減少因為帶對帶穿隧(band-to-band tunneling)、打穿(punch-through)或類似者而穿過鰭片66的漏電流,例如穿過形成於鰭片66中的阱。在這種方式下,可以控制一或多個蝕刻製程的參數或類型以實現可以例如減少漏電流或改善裝置操作的特定凹槽輪廓。隨後示出的製程步驟將以第12B圖的實施例為示例,然而這些製程步驟可以對任何實施例執行。
根據一些實施例,在第13A圖至第13C圖中,內側間隔物90形成在第一奈米結構52上。內側間隔物90做為隨後形成的磊晶源極/汲極區域94和隨後形成的閘極結構(參考第22A圖至第22C圖)之間的隔離特徵。進一步而言,內側間隔物90可以用於實質上避免隨後的蝕刻製程損壞隨後形成的磊晶源極/汲極區域94,例如用於隨後移除第一奈米結構52的蝕刻製程。
形成內側間隔物90可以例如藉由沉積內側間隔物層(未特別繪示)在第10A圖至第10B圖中繪示的結構上方,且接著蝕刻內側間隔物層以形成內側間隔物90。沉積內側間隔物層可以例如藉由共形的沉積製程,例如化學氣相沉積、原子層沉積或類似者。內側間隔物層可以是介電材料,例如氮化矽、氮氧化矽、氧碳氮化矽、類似者或上述的組合。在一些實施例中,內側間隔物層包括低介電常數介電材料(例如,具有介電常數(k-value)低於約3.5的介電材料)。可以使用共形的沉積製程沉積內側間隔物層, 例如原子層沉積、化學氣相沉積或類似者。藉由任何可接受的製程所形成的其他介電材料也可以用於內側間隔物層。
在沉積內側間隔物層之後,執行蝕刻製程以從鰭片66的表面和從第二奈米結構54的表面移除部分的內側間隔物層。在第一奈米結構52的側壁上的內側間隔物層的剩餘部分形成內側間隔物90。可以使用任何可接受的蝕刻製程蝕刻內側間隔物層,例如反應性離子蝕刻、中性粒子束蝕刻、類似者或上述的組合。蝕刻可以是各向異性的。第13B圖繪示內側間隔物90從第二奈米結構54的末端凹陷(例如,第二奈米結構54從內側間隔物90突出),但在其他實施例中,內側間隔物90可以從第二奈米結構54突出,或者內側間隔物90和第二奈米結構54可以具有實質上共平面的側壁。此外,儘管第13B圖中的內側間隔物90的側壁繪示成實質上筆直,在其他實施例中的內側間隔物90的側壁可以是凹形或凸形。做為示例,第13C圖繪示第一奈米結構52的側壁是凹形、內側間隔物90的側壁是凹形且內側間隔物90從第二奈米結構54的側壁凹陷的實施例。隨後示出的製程步驟將以第13B圖的實施例為示例,然而這些製程步驟可以對任何實施例執行。
根據一些實施例,第14A圖至第17C圖中,繪示形成磊晶源極/汲極區域94的中間步驟。形成磊晶源極/汲極區域94包括在第一凹槽86中形成第一磊晶區域91,以及接著在第一磊晶區域91上形成第二磊晶區域92。在 一些實施例中,第一磊晶區域91或第二磊晶區域92可以包括多個磊晶層。在一些實施例中,第一磊晶區域91具有和第二磊晶區域92不同的成分或摻雜濃度,從而可以提供優勢(下方將進一步詳細描述)。
根據一些實施例,第14A圖至第16E圖繪示形成第一凹槽86中的第一磊晶區域91和側壁磊晶區域93。第14A圖繪示沿著第1圖中的參考截面A-A'的截面圖,以及第14B圖和第14C圖繪示沿著第1圖中的參考截面B-B'的截面圖。使用第一磊晶生長製程在鰭片66中的第一凹槽86中磊晶生長第一磊晶區域91。在一些實施例中,第一磊晶區域91可以具有從鰭片66的各自上表面升起的表面,且可以具有刻面。第一磊晶區域91的頂表面可以低於、高於或大約齊平鰭片66的頂表面。例如,第一磊晶區域91的頂表面可以在鰭片66的頂表面上方且相距距離D2,其中距離D2在約1nm至約20nm的範圍中。在其他實施例中,第一磊晶區域91的頂表面在鰭片66的頂表面下方,距離在約1nm至約20nm的範圍中。以其他距離高於或低於鰭片66的頂表面也是可實行的。在一些實施例中,第一磊晶區域91的頂表面和最底部第二奈米結構54(例如,第二奈米結構54A)的底表面之間的距離D3在約3nm至約30nm的範圍中,然而其他距離也是可實行的。
在一些實施例中,第一磊晶生長製程也形成側壁磊晶區域93在第二奈米結構54的暴露表面上(例如,側壁 部分)。第14B圖示出在第一磊晶生長製程期間形成側壁磊晶區域93的一實施例。由於使用相同的第一磊晶生長製程形成第一磊晶區域91和側壁磊晶區域93,第一磊晶區域91和側壁磊晶區域93具有大約相同的成分。在一些示例中,第一磊晶生長製程具有大於水平沉積速率的垂直沉積速率,導致側壁磊晶區域93比第一磊晶區域91具有較慢的生長速率。在這種方式下,第一凹槽86中的第一磊晶區域91可以比第二奈米結構54上的側壁磊晶區域93更厚。
在一些實施例中,在隨後的製程步驟期間,側壁磊晶區域93保留在第二奈米結構54上。在其他實施例中,在執行隨後的製程步驟之前,執行蝕刻製程以從第二奈米結構54移除側壁磊晶區域93。第14C圖繪示已移除側壁磊晶區域93的一實施例。蝕刻製程可以包括濕式蝕刻製程及/或乾式蝕刻製程。例如,蝕刻製程可以是使用包括HCl、Cl2或類似者的製程氣體的乾式蝕刻製程,製程可以具有製程溫度在約600℃至約700℃的範圍中或製程壓力在約1Torr至約760Torr的範圍中。其他蝕刻製程、製程氣體或製程參數也是可實行的。隨後示出的製程步驟將以第14B圖的實施例為示例,然而這些製程步驟可以對任何實施例執行。
根據一些實施例,第15A圖至第15E圖和第16A圖至第16E圖繪示形成在第二奈米結構54上的側壁磊晶區域93的不同輪廓。第15A圖至第15E圖和第16A圖 至第16E圖中示出的第二奈米結構54對應於第11A圖至第11E圖中示出的第二奈米結構54。第15A圖至第15E圖繪示由於第一磊晶生長製程的刻面限制生長而具有刻面輪廓的側壁磊晶區域93。例如,在一些實施例中,第一磊晶生長製程是<111>刻面限制生長製程,從而可以生長具有<111>刻面的側壁磊晶區域93。其他刻面或刻面組合也是可實行的。第16A圖至第16E圖繪示由於第一磊晶生長製程的共形生長而具有共形輪廓的側壁磊晶區域93。第15A圖至第16E圖中示出的側壁磊晶區域93是做為示例,側壁磊晶區域93可以具有刻面和共形生長組合的輪廓,或者可以具有本文所述以外的其他輪廓。在一些實施例中,可以藉由控制第一磊晶製程的參數來控制刻面生長或共形生長的相對程度,例如製程氣體的混合、前驅物流速、製程溫度或類似者。隨後示出的製程步驟將以第15A圖的實施例為示例,然而這些製程步驟可以對任何實施例執行。
在一些實施例中,第一磊晶區域91(和側壁磊晶區域93)包括摻雜的或未摻雜半導體材料。半導體材料的摻雜及/或成分可以是均勻或漸變的。在一些實施例中,第一磊晶區域91可以包括例如矽、矽鍺、摻雜硼的矽鍺、鍺、鍺錫或類似材料。例如,在一些實施例中,第一磊晶區域91可以是摻雜p型雜質的矽,例如硼。其他材料、摻雜劑或上述的組合也是可實行的。在一些實施例中,在生長期間可以使用第一磊晶生長製程原位摻雜第一磊晶區域91。在一些實施例中,第一磊晶區域91可以佈植摻雜劑,類似 於前述形成輕摻雜源極/汲極區域的製程,隨後進行退火。在一些實施例中,第一磊晶區域91可以具有p型雜質濃度在約1x1017atoms/cm3至約1x1020atoms/cm3的範圍中,然而其他雜質濃度也是可實行的。
在一些實施例中,第一磊晶區域91的雜質濃度可以相對低。例如,第一磊晶區域91的雜質濃度可以小於上覆的第二磊晶區域92的雜質濃度,下文將進一步詳細描述。在一些示例中,所形成的第一磊晶區域91具有相對低雜質濃度可以減少鰭片66中的漏電流。例如,在一些示例中,漏電流可能發生在鄰近的磊晶源極/汲極區域94之間的鰭片66的區域67中(參考第14B圖和第17B圖)。舉例而言,磊晶源極/汲極區域94和鰭片66之間的載子帶對帶穿隧可能導致區域67中的漏電流。透過減少鰭片66中的第一凹槽86中的第一磊晶區域91的雜質濃度,可以減少第一磊晶區域91和鰭片66之間的帶對帶穿隧,從而可以減少區域67中的漏電流。在這種方式下,第一凹槽86中的第一磊晶區域91可以減少磊晶源極/汲極區域94之間的漏電流。在一些實施例中,第一磊晶區域91的初始生長部分可以具有雜質濃度低於第一磊晶區域91的後續生長部分。例如,第一磊晶區域91可以具有漸變的雜質濃度輪廓(profile),然而其他雜質濃度輪廓也是可實行的。
在其他實施例中,第一磊晶區域91(和側壁磊晶區域93)可以摻雜n型雜質。例如,在一些實施例中,第一磊晶區域91可以是摻雜n型雜質的矽,例如磷、砷化物或 類似者。其他材料、摻雜劑或上述的組合也是可實行的。在一些實施例中,在生長期間可以使用第一磊晶生長製程原位摻雜第一磊晶區域91。在一些實施例中,第一磊晶區域91可以佈植摻雜劑,類似於前述形成輕摻雜源極/汲極區域的製程,隨後進行退火。在一些實施例中,第一磊晶區域91可以具有n型雜質濃度在約1x1017atoms/cm3至約1x1020atoms/cm3的範圍中,然而其他雜質濃度也是可實行的。在一些實施例中,第一磊晶區域91的初始生長部分可以具有雜質濃度低於第一磊晶區域91的後續生長部分。例如,第一磊晶區域91可以具有漸變的雜質濃度輪廓,然而其他雜質濃度輪廓也是可實行的。在一些示例中,形成n型鰭片66及/或基板50上方的p型磊晶源極/汲極區域94可能因為打穿效應而導致區域67中的漏電流。透過形成摻雜本文所述n型雜質的第一磊晶區域91,可以減少或減除區域67中的打穿效應,從而可以減少或減除打穿效應造成的漏電流。
在一些實施例中,當第一磊晶區域91包括矽時,第一磊晶生長製程可以使用例如矽烷的含矽前驅物,例如單矽烷(SiH4)、乙矽烷(Si2H6)、丙矽烷(Si3H8)、三氯矽烷(HCl3Si)、二氯矽烷(H2SiCl2)或類似者。當第一磊晶區域91包括鍺時,第一磊晶生長製程可以使用含鍺前驅物,例如鍺烷(GeH4)或類似者。在一些示例中,在第一磊晶生長製程期間增加含鍺前驅物對含矽前驅物的比例(例如,前驅物流速的比例)可以促進刻面限制生長大於共形生 長。其他含矽或含鍺前驅物也是可實行的。
在一些實施例中,第一磊晶生長製程可以包括原位摻雜。當摻雜劑是硼,含摻雜劑的前驅物可以是含硼前驅物,例如乙硼烷(B2H6)或類似者。當摻雜劑是砷化物,含摻雜劑的前驅物可以含砷化物前驅物,例如砷化氫(AsH3)或類似者。當摻雜劑是磷,含摻雜劑的前驅物可以是含磷前驅物,例如二磷烷(P2H6)、三氯化磷(PCl3)或類似者。含有其他摻雜劑的前驅物也是可實行的。
在一些實施例中,第一磊晶生長製程包括一或多種其他製程氣體,例如HCl或類似者。在一些示例中,在第一磊晶生長製程期間使用HCl可以促進刻面限制生長大於共形生長。在一些實施例中,執行第一磊晶生長製程的製程溫度在約400℃至約800℃的範圍中,且製程壓力在約1Torr至約760Torr的範圍中。在一些示例中,在第一磊晶生長製程期間增加製程溫度可以促進刻面限制生長大於共形生長。其他前驅物、製程氣體或製程參數也是可實行的。
根據一些實施例,在第17A圖至第17C圖中,執行第二磊晶生長製程以在第一磊晶區域91上方形成第二磊晶區域92。第一磊晶區域91和第二磊晶區域92一起組成磊晶源極/汲極區域94。第二磊晶區域92可以包括適合p型裝置的任何可接受的材料,其材料可以包括前述關於第一磊晶區域91的材料。例如,第二磊晶區域92可以包括例如矽、矽鍺、鍺、鍺錫或類似材料,且材料可以摻 雜p型雜質,例如硼或類似者。在一些實施例中,第二磊晶區域92包括在第二奈米結構54上施加壓應力(compressive strain)的材料,因此可以改善裝置表現。第二磊晶區域92可以具有從第二奈米結構54或側壁磊晶區域93的各自表面升起的表面,且可以具有刻面。
在一些實施例中,在生長期間可以使用第二磊晶生長製程原位摻雜第二磊晶區域92。在一些實施例中,第二磊晶區域92可以佈植摻雜劑,類似於前述形成輕摻雜源極/汲極區域的製程,隨後進行退火。在一些實施例中,第二磊晶區域92可以具有p型雜質濃度在約1x1019atoms/cm3至約1x1021atoms/cm3的範圍中,然而其他雜質濃度也是可實行的。在一些實施例中,第二磊晶區域92具有雜質濃度不同於第一磊晶區域91。例如,第一磊晶區域91的雜質濃度可以低於第二磊晶區域92的雜質濃度,因此如前述可以減少漏電流。
在一些實施例中,第二磊晶區域92可以具有成分不同於第一磊晶區域91的成分。例如,在一些實施例中,第一磊晶區域91和第二磊晶區域92兩者可以包括矽鍺,但第一磊晶區域91可以比第二磊晶區域92具有較小的鍺原子分數(atomic fraction)。在一些實施例中,第二磊晶區域92可以是具有成分表示為Si1-xGex的矽鍺,其中x表示鍺原子分數,且第一磊晶區域91可以是具有成分表示為Si1-yGey的矽鍺,其中y表示不同於x的鍺原子分數。例如,在一些實施例中,第二磊晶區域92可以具有原 子分數x在約0.2至約0.8的範圍中,且第一磊晶區域91可以具有原子分數y低於原子分數x。在一些實施例中,原子分數y可以低於約0.2。其他原子分數也是可實行的。
在一些示例中,形成比第一磊晶區域91具有更大鍺原子分數的第二磊晶區域92可以改善裝置的載子傳輸效率。例如,和具有較大的鍺原子分數的第二磊晶區域92的價帶(valence band)相比,具有較小的鍺原子分數的第一磊晶區域91可以具有相對能量偏移(energetically offset)的價帶。這樣的價帶偏移可以阻擋或部分阻擋電洞(hole)從第二磊晶區域92流進第一磊晶區域91。在這種方式下,磊晶源極/汲極區域94之中的電洞載子可以更限制在接近第二奈米結構54的區域內,因此可以增加電流密度及/或裝置效率。這也可以阻擋或部分阻擋電洞載子抵達鰭片66,因此可以減少區域67中的裝置漏電流。
在一些實施例中,使用類似於用在第一磊晶生長製程的技術執行第二磊晶生長製程。例如,第二磊晶生長製程可以使用類似於前述關於第一磊晶生長製程的含矽前驅物、含鍺前驅物及/或含有摻雜劑的前驅物。在一些實施例中,執行第二磊晶生長製程的製程溫度在約400℃至約800℃的範圍中,且製程壓力在約1Torr至約760Torr的範圍中。形成第二磊晶區域92的第二磊晶生長製程可以和形成第一磊晶區域91的第一磊晶生長製程具有不同的製程參數(例如,溫度、壓力、流速等)、前驅物、製程氣體或類似者。其他前驅物、製程氣體或製程參數也是可實 行的。
如第17B圖中所繪示,磊晶源極/汲極區域94形成在第一凹槽86中,使得各個虛擬閘極76設置在各自的相鄰成對磊晶源極/汲極區域94之間。在一些實施例中,第一間隔物81用於分離磊晶源極/汲極區域94和虛擬閘極76,且內側間隔物90用於以適當的橫向距離分離磊晶源極/汲極區域94和第一奈米結構52,使得磊晶源極/汲極區域94不會與隨後形成的奈米結構場效電晶體的閘極發生短路。
用於形成磊晶源極/汲極區域94的磊晶製程導致磊晶源極/汲極區域94的上表面可以具有刻面,其中刻面向外橫向擴張至超過奈米結構55的側壁。在一些實施例中,這些刻面導致相同的奈米結構場效電晶體的鄰近磊晶源極/汲極區域94融合,如第17A圖所繪示。在其他實施例中,在完成磊晶製程之後,鄰近的磊晶源極/汲極區域94保持分離,如第17C圖所繪示。在第17A圖和第17C圖所繪示的實施例中,第一間隔物81可以形成至淺溝槽隔離區域68的頂表面,從而阻擋磊晶生長。在一些其他實施例中,第一間隔物81可以覆蓋奈米結構55的部分側壁,進一步阻擋磊晶生長。在一些其他實施例中,可以調整用於形成第一間隔物81的蝕刻製程以移除間隔物材料,從而允許磊晶生長延伸至淺溝槽隔離區域68的表面。
在第18A圖至第18C圖中,第一層間介電層(interlayer dielectric,ILD)96沉積在磊晶源極/汲 極區域94、遮罩78、第一間隔物81和第二間隔物83上方。第18A圖繪示沿著第1圖中的參考截面A-A'的截面圖,第18B圖繪示沿著第1圖中的參考截面B-B'的截面圖,且第18C圖繪示沿著第1圖中的參考截面C-C'的截面圖。第一層間介電層96可以由介電材料所形成,且可以藉由任何適合的方法沉積,例如化學氣相沉積、電漿增強化學氣相沉積、流動式化學氣相沉積或類似者。第一層間介電層96可以包括例如磷矽酸鹽玻璃(phospho-silicate glass,PSG)、硼矽酸鹽玻璃(boro-silicate glass,BSG)、硼摻雜磷矽酸鹽玻璃(boron-doped phospho-silicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)或類似材料。可以使用任何可接受的製程形成的其他介電材料。
在一些實施例中,接觸蝕刻停止層(contactetch stop layer,CESL)95形成在第一層間介電層96以及磊晶源極/汲極區域94、遮罩78和第一間隔物81之間。接觸蝕刻停止層95可以包括介電材料,例如氮化矽、氧化矽、氮氧化矽或類似者。在一些實施例中,接觸蝕刻停止層95可以是和上覆的第一層間介電層96的材料具有不同蝕刻速率的材料。可以使用任何適合的製程形成接觸蝕刻停止層95,例如化學氣相沉積、原子層沉積或類似者。
根據一些實施例,在第19A圖至第19C圖中,執行平坦化製程以齊平第一層間介電層96的頂表面以及第 一間隔物81、虛擬閘極76及/或遮罩78的頂表面。平坦化製程也可以移除虛擬閘極76上的遮罩78和沿著遮罩78的側壁的部分第一間隔物81。在平坦化製程之後,虛擬閘極76、第一間隔物81和第一層間介電層96的頂表面可以齊平(在製程誤差內)。因此,可以穿過第一層間介電層96而暴露虛擬閘極76的頂表面。在其他實施例中,部分遮罩78可以保留在虛擬閘極76上方,且平坦化製程齊平第一層間介電層96的頂表面以及遮罩78和第一間隔物81的頂表面。
根據一些實施例,在第20A圖至第20C圖中,使用一或多個蝕刻製程移除虛擬閘極76和遮罩78(如果存在)以形成第二凹槽98。此外,也移除第二凹槽98中的部分虛擬閘極介電層71。在一些實施例中,使用各向異性乾式蝕刻製程移除虛擬閘極76和虛擬閘極介電層71。例如,蝕刻製程可以包括使用反應氣體的乾式蝕刻製程,其中相比於第一層間介電層96或第一間隔物81,反應氣體以更快速率選擇性地蝕刻虛擬閘極76。在移除期間,當蝕刻虛擬閘極76時,虛擬閘極介電層71可以做為蝕刻停止層。接著移除虛擬閘極介電層71。各個第二凹槽98暴露及/或覆蓋部分的第二奈米結構54。做為通道區域的部分第二奈米結構54設置在鄰近成對的磊晶源極/汲極區域94之間且鄰接鄰近成對的磊晶源極/汲極區域94。
根據一些實施例,在第21A圖至第21C圖中,移除第一奈米結構52。移除第一奈米結構52而延伸第二凹 槽98,使得開口形成在第二奈米結構54之間。可以藉由任何可接受的蝕刻製程移除第一奈米結構52,其中和第二奈米結構54的材料相比,蝕刻製程以更快速率選擇性地蝕刻第一奈米結構52的材料。蝕刻可以是各向同性的。例如,在第一奈米結構52由矽鍺所形成且第二奈米結構54由矽所形成的實施例中,蝕刻製程可以包括使用四甲基氫氧化銨、氫氧化銨或類似者的濕式蝕刻。
根據一些實施例,在第22A圖至第22C圖中,形成閘極介電層100和閘極電極102做為替代閘極。各自成對的閘極介電層100和閘極電極102可以集體稱為「閘極結構」或「閘極堆疊」。各個閘極結構沿著第二奈米結構54的通道區域的側壁和頂表面延伸。一些閘極結構也沿著鰭片66的側壁及/或頂表面延伸。閘極介電層100包括一或多個閘極介電層設置在第二奈米結構54周圍以及第一間隔物81和內側間隔物90的側壁上。閘極介電層100可以由例如氧化矽或金屬氧化物的氧化物、例如金屬矽酸鹽的矽酸鹽、上述的組合、上述的多層或類似者所形成。另外或替代地,閘極介電層100可以由高介電常數介電材料(例如,具有介電常數大於約7.0的介電材料)所形成,例如鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛的金屬氧化物或矽酸鹽和上述的組合。可以藉由分子束沉積(molecular-beam deposition,MBD)、原子層沉積、電漿增強化學氣相沉積或類似者形成閘極介電層100的介電材料。儘管閘極介電層100繪示成單一層,閘極介電層 100可以包括任何數量的界面層和任何數量的主要層。例如,在一些實施例中,閘極介電層100可以包括一個界面層和上覆的高介電常數介電層。
閘極電極102包括一或多個閘極電極層設置在閘極介電層100上方。閘極電極102可以由含金屬材料所形成,如氮化鈦、氧化鈦、氮化鉭、碳化鉭、鎢、鈷、釕、鋁、上述的組合、上述的多層或類似者。儘管閘極電極102繪示成單一層,閘極電極102可以包括例如任何數量的功函數調整層、任何數量的阻障層、任何數量的黏著層和填充材料。
做為形成閘極結構的一個示例,一或多個閘極介電層可以共形沉積在第二凹槽98中。閘極介電層可以形成在基板50的頂表面和側壁上,以及第二奈米結構54的頂表面、側壁及/或底表面上。閘極介電層也可以沉積在第一層間介電層96、接觸蝕刻停止層95和第一間隔物81的頂表面上。隨後,一或多個閘極電極層可以沉積在閘極介電層上和第二凹槽98的剩餘部分中。接著可以執行移除製程以移除閘極介電層和閘極電極層的多餘部分,其中多餘部分在第一層間介電層96、接觸蝕刻停止層95和第一間隔物81的頂表面上方。在移除製程之後,閘極介電層具有留存在第二凹槽98中的部分,從而形成閘極介電層100。在移除製程之後,閘極電極層具有留存在第二凹槽98中的部分,從而形成閘極電極102。在一些實施例中,執行平坦化製程,例如化學機械研磨、回蝕製程、上述的組合或 類似者。在平坦化製程之後,第一間隔物81、接觸蝕刻停止層95、第一層間介電層96、閘極介電層100和閘極電極102的頂表面共平面(在製程誤差內)。閘極電極102和閘極介電層100的材料的剩餘部分因此形成所產生的奈米結構場效電晶體的替代閘極結構。
雖然第22A圖至第22C圖繪示p型區域50P中的一實施例,n型區域50N和p型區域50P中的閘極介電層100可以同時形成,使得各個區域中的閘極介電層100由相同的材料所形成,且可以同時形成閘極電極102,使得各個區域中的閘極電極102由相同的材料所形成。在一些實施例中,可以藉由分開的製程形成各個區域中的閘極介電層100,使得閘極介電層100可以是不同的材料及/或具有不同的層數,及/或可以藉由分開的製程形成各個區域中的閘極電極102,使得閘極電極102可以是不同的材料及/或具有不同的層數。當使用分開的製程時,可以使用多個遮罩步驟以遮蔽和暴露適當的區域。
根據一些實施例,在第23A圖至第23C圖中,閘極遮罩104和第二層間介電層106形成在閘極結構上方。可以凹陷閘極結構(例如,閘極介電層100和上覆的閘極電極102)以形成凹槽(未在圖式中示出)在閘極結構上方和在第一間隔物81的相對側壁之間。接著可以沉積一或多層的介電材料在凹槽中以形成閘極遮罩104。介電材料可以例如是氮化矽、氮氧化矽或類似者。可以執行平坦化製程以移除延伸在第一層間介電層96上方的介電材料的多 餘部分。
第二層間介電層106可以沉積在第一層間介電層96上方和在閘極遮罩104上方。在一些實施例中,第二層間介電層106是藉由流動式化學氣相沉積形成的可流動膜(flowable film)。在一些實施例中,第二層間介電層106由介電材料所形成,例如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼摻雜磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃或類似者,其中介電材料可以藉由任何適合的方法沉積,例如化學氣相沉積、電漿增強化學氣相沉積或類似者。
根據一些實施例,在第24A圖至第24C圖中,形成暴露磊晶源極/汲極區域94及/或閘極結構的表面的第三凹槽108。例如,形成第三凹槽108可以藉由使用一或多個光刻和蝕刻步驟蝕刻第二層間介電層106、第一層間介電層96、接觸蝕刻停止層95和閘極遮罩104。在一些實施例中,可以使用第一蝕刻製程將第三凹槽108蝕刻穿過第二層間介電層106和第一層間介電層96,接著可以使用第二蝕刻製程將第三凹槽108延伸穿過閘極遮罩104,且可以使用第三蝕刻製程將第三凹槽108延伸穿過接觸蝕刻停止層95。在第二層間介電層106上方可以形成且圖案化例如光阻的遮罩,以在第一蝕刻製程和第二蝕刻製程遮罩部分的第二層間介電層106。在一些實施例中,第三凹槽108延伸進磊晶源極/汲極區域94及/或延伸進閘極結構。可以使用例如各向異性蝕刻製程蝕刻第三凹槽108,例如反應性離子蝕刻、中性粒子束蝕刻或類似者。儘管第 24B圖繪示第三凹槽108在相同截面中暴露磊晶源極/汲極區域94和閘極結構,在多個實施例中可以在不同截面中暴露磊晶源極/汲極區域94和閘極結構。
在一些實施例中,在形成第三凹槽108之後,可以自選地形成矽化物區域110在磊晶源極/汲極區域94上方。形成矽化物區域110可以藉由例如先沉積金屬(未示出)在磊晶源極/汲極區域94的暴露部分上方,接著執行熱退火製程以形成矽化物區域110。金屬可以包括適合形成矽化物或鍺化物區域的一或多個金屬,例如鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他耐火金屬、稀土金屬或上述的合金、類似者或上述的組合。可以藉由沉積製程沉積金屬,例如原子層沉積、化學氣相沉積、物理氣相沉積或類似者。接著使用例如蝕刻製程移除沉積金屬的未反應部分。儘管矽化物區域110稱為矽化物區域,矽化物區域110可以是鍺化物區域或矽鍺化物區域(例如,包括矽化物和鍺化物的區域)。在一實施例中,矽化物區域110包括TiSi,且具有厚度在約2nm和約10nm之間的範圍中,然而矽化物區域110的其他成分或尺寸也是可實行的。
接著,在第25A圖至第25C圖中,形成源極/汲極接觸112和閘極接觸114以分別接觸磊晶源極/汲極區域94和閘極電極102。源極/汲極接觸112物理性且電性耦合至磊晶源極/汲極區域94上的矽化物區域110。閘極接觸114物理性且電性耦合至閘極電極102。
在一些實施例中,形成源極/汲極接觸112及/或 閘極接觸114可以藉由沉積內襯(未特別繪示)在第三凹槽108中。內襯可以例如是擴散阻障層、黏附層或類似者,且可以包括鈦、氮化鈦、鉭、氮化鉭、類似者或上述的組合。接著可以在內襯上沉積導電材料。導電材料可以例如是銅、銅合金、銀、金、鎢、鈷、鋁、鎳、類似者或上述的組合。可以執行例如化學機械研磨的平坦化製程,以從第二層間介電層106移除多餘的材料。剩餘內襯和導電材料形成閘極接觸114和源極/汲極接觸112。可以在分開的製程中形成閘極接觸114和源極/汲極接觸112,或者可以在相同的製程中形成閘極接觸114和源極/汲極接觸112。
隨後,可以藉由上覆的互連結構中的金屬化層互連奈米結構場效電晶體裝置以形成積體電路。可以在後段(back-end-of-line,BEOL)製程中形成上覆的互連結構,其中金屬化層連接至閘極接觸114和源極/汲極接觸112。在例如記憶體裝置的一些裝置類型中,連接至裝置的源極區域的源極/汲極接觸112可以接地。在後段製程期間,額外的特徵可以和互連結構整合,例如被動裝置、記憶體(例如,磁阻式隨機存取記憶體(magnetoresistive random-access memory,MRAM)、電阻式隨機存取記憶體(resistive random access memory,RRAM)、相變化隨機存取記憶體(phase-change random access memory,PCRAM)等)或類似者。
實施例可以實現一些優勢。例如,藉由形成p型 奈米結構場效電晶體包括第一磊晶區域和上覆的第二磊晶區域的磊晶源極/汲極區域,可以控制第一磊晶區域的成分及/或摻雜以減少漏電流和改善裝置操作。第一磊晶區域可以覆蓋形成在半導體鰭片中的凹槽的表面或實質上填充形成在半導體鰭片中的凹槽。在一些示例中,第一磊晶區域和第二磊晶區域可以摻雜p型雜質,其中第一磊晶區域比第二磊晶區域具有較小的雜質濃度。藉由形成相對低摻雜的第一磊晶區域,可以減少因為帶對帶穿隧而造成磊晶源極/汲極區域和半導體鰭片之間的漏電流。在一些示例中,第一磊晶區域可以摻雜n型雜質且第二磊晶區域可以摻雜p型雜質。藉由形成具有n型雜質的第一磊晶區域,可以減少因為打穿效應而造成的漏電流。在一些示例中,第一磊晶區域和第二磊晶區域可以是矽鍺,其中第一磊晶區域比第二磊晶區域具有較小的鍺原子分數。藉由形成具有相對少鍺的第一磊晶區域,電流載子可以更限制在第二磊晶區域中,因此改善裝置效率。
在一實施例中,一種半導體裝置包括半導體基板上方的第一奈米結構、第一奈米結構上方的第二奈米結構上方、環繞第一奈米結構和第二奈米結構的閘極結構、在鄰近閘極結構的半導體基板中的第一磊晶區域,其中第一磊晶區域是第一摻雜半導體材料,以及第一磊晶區域上方的第二磊晶區域,其中第二磊晶區域鄰近第一奈米結構和第二奈米結構,其中第二磊晶區域是不同於第一摻雜半導體材料的第二摻雜半導體材料。在一實施例中,第一摻雜半 導體材料比第二摻雜半導體材料具有較小的摻雜濃度。在一實施例中,第一磊晶區域的頂表面延伸在半導體基板的頂表面上方。在一實施例中,第一摻雜半導體材料和第二摻雜半導體材料是相反類型摻雜。在一實施例中,第二摻雜半導體材料摻雜p型雜質。在一實施例中,第一摻雜半導體材料是具有第一鍺原子分數的矽鍺,且其中第二摻雜半導體材料是具有第二鍺原子分數的矽鍺,第二鍺原子分數大於第一鍺原子分數。在一實施例中,第一鍺原子分數低於0.2。在一實施例中,半導體裝置包括在第一奈米結構和第二奈米結構的側壁上的側壁磊晶區域,其中側壁磊晶區域包括第一摻雜半導體材料。
在一實施例中,一種半導體裝置包括從基板突出且包括第一凹槽的半導體鰭片、半導體鰭片上方的第一奈米結構、環繞第一奈米結構的閘極結構,以及鄰近第一奈米結構的第一源極/汲極區域,其中第一源極/汲極區域包括第一凹槽中且具有第一摻雜濃度的第一磊晶區域以及第一磊晶區域上的第二磊晶區域,其中第二磊晶區域具有大於第一摻雜濃度的第二摻雜濃度。在一實施例中,第一摻雜濃度在1x1017atoms/cm3至1x1020atoms/cm3的範圍中。在一實施例中,第一磊晶區域填充第一凹槽。在一實施例中,第一磊晶區域的頂表面低於第一奈米結構的底表面至少3nm。在一實施例中,第一磊晶區域包括n型摻雜劑,且第二磊晶區域包括p型摻雜劑。在一實施例中,第一磊晶區域具有漸變摻雜輪廓(doping profile)。在 一實施例中,第二磊晶區域物理性接觸第一奈米結構。
在一實施例中,一種形成半導體裝置的方法包括以下步驟。在基板上形成一組奈米結構,其中此組奈米結構的各個奈米結構包括通道區域。在鄰近此組奈米結構的基板中形成凹槽。使用第一磊晶生長製程形成在凹槽中的第一磊晶區域,其中第一磊晶區域填充凹槽。使用不同於第一磊晶生長製程的第二磊晶生長製程形成在第一磊晶區域上的第二磊晶區域。在此組奈米結構上形成閘極結構,其中閘極結構環繞此組奈米結構的各個奈米結構的通道區域。在一實施例中,第一磊晶生長製程形成在此組奈米結構中的奈米結構的側壁上的側壁磊晶區域。在一實施例中,方法包括執行蝕刻製程以移除側壁磊晶區域。在一實施例中,側壁磊晶區域具有刻面。在一實施例中,第一磊晶區域比第二磊晶區域具有較低雜質濃度。
前面概述一些實施例的特徵,使得本領域技術人員可更好地理解本公開的觀點。本領域技術人員應該理解,他們可以容易地使用本公開作為設計或修改其他製程和結構的基礎,以實現相同的目的和/或實現與本文介紹之實施例相同的優點。本領域技術人員還應該理解,這樣的等同構造不脫離本公開的精神和範圍,並且在不脫離本公開的精神和範圍的情況下,可以進行各種改變、替換和變更。
50:基板
54A,54B,54C:第二奈米結構
66:鰭片
90:內側間隔物
91:第一磊晶區域
92:第二磊晶區域
93:側壁磊晶區域
94:磊晶源極/汲極區域
95:接觸蝕刻停止層
96:第一層間介電層
102:閘極電極
104:閘極遮罩
110:矽化物區域
112:源極/汲極接觸
114:閘極接觸

Claims (10)

  1. 一種半導體裝置,包括:一第一奈米結構,在一半導體基板上方;一第二奈米結構,在該第一奈米結構上方;一閘極結構,環繞該第一奈米結構和該第二奈米結構;一第一磊晶區域,在鄰近該閘極結構的該半導體基板中,其中該第一磊晶區域是一第一摻雜半導體材料,其中該第一摻雜半導體材料的一後續生長部分具有一摻雜濃度高於該第一摻雜半導體材料的一初始生長部分;及一第二磊晶區域,在該第一磊晶區域上方,其中該第二磊晶區域鄰近該第一奈米結構和該第二奈米結構,其中該第二磊晶區域是不同於該第一摻雜半導體材料的一第二摻雜半導體材料,其中該第一摻雜半導體材料是具有一第一鍺原子分數的矽鍺,該第二摻雜半導體材料是具有一第二鍺原子分數的矽鍺,該第二鍺原子分數大於該第一鍺原子分數。
  2. 如請求項1所述之半導體裝置,其中該第一摻雜半導體材料的該後續生長部分的該摻雜濃度低於該第二摻雜半導體材料。
  3. 如請求項1所述之半導體裝置,其中該第一摻雜半導體材料和該第二摻雜半導體材料是相反類型摻雜。
  4. 如請求項1所述之半導體裝置,其中該第一磊晶區域的一頂表面延伸在該半導體基板的一頂表面上方。
  5. 如請求項1所述之半導體裝置,進一步包括在該第一奈米結構和該第二奈米結構的側壁上的多個側壁磊晶區域,其中該些側壁磊晶區域包括該第一摻雜半導體材料。
  6. 一種半導體裝置,包括:一半導體鰭片,從一基板突出,該半導體鰭片包括一第一凹槽;一第一奈米結構,在該半導體鰭片上方;一閘極結構,環繞該第一奈米結構;及一第一源極/汲極區域,鄰近該第一奈米結構,其中該第一源極/汲極區域包括:一第一磊晶區域,在該第一凹槽中,其中該第一磊晶區域的一後續生長部分具有一第一摻雜濃度高於該第一磊晶區域的一初始生長部分;及一第二磊晶區域,在該第一磊晶區域上,其中該第二磊晶區域具有大於該第一摻雜濃度的一第二摻雜濃度,其中該第一磊晶區域是具有一第一鍺原子分數的矽鍺,該第二磊晶區域是具有一第二鍺原子分數的矽鍺,該第 二鍺原子分數大於該第一鍺原子分數。
  7. 如請求項6所述之半導體裝置,其中該第一磊晶區域的一頂表面低於該第一奈米結構的一底表面至少3nm。
  8. 如請求項6所述之半導體裝置,其中該第一磊晶區域包括n型摻雜劑,且該第二磊晶區域包括p型摻雜劑。
  9. 如請求項6所述之半導體裝置,其中該第二磊晶區域物理性接觸該第一奈米結構。
  10. 一種形成半導體裝置的方法,包括:在一基板上形成一組奈米結構,其中該組奈米結構的各個奈米結構包括一通道區域;在鄰近該組奈米結構的該基板中形成一凹槽;使用一第一磊晶生長製程形成在該凹槽中的一第一磊晶區域,其中該第一磊晶區域填充該凹槽,其中該第一磊晶區域的一初始生長部分具有摻雜濃度低於該第一磊晶區域的一後續生長部分;使用不同於該第一磊晶生長製程的一第二磊晶生長製程形成在該第一磊晶區域上的一第二磊晶區域,其中該第一磊晶區域是具有一第一鍺原子分數的矽鍺,該第二磊晶區 域是具有一第二鍺原子分數的矽鍺,該第二鍺原子分數大於該第一鍺原子分數;及在該組奈米結構上形成一閘極結構,其中該閘極結構環繞該組奈米結構的各該奈米結構的該通道區域。
TW111105081A 2021-05-05 2022-02-11 半導體裝置和其形成方法 TWI836346B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163184515P 2021-05-05 2021-05-05
US63/184,515 2021-05-05
US17/644,140 2021-12-14
US17/644,140 US20220359653A1 (en) 2021-05-05 2021-12-14 Source/drain regions of semiconductor device and method of forming the same

Publications (2)

Publication Number Publication Date
TW202245258A TW202245258A (zh) 2022-11-16
TWI836346B true TWI836346B (zh) 2024-03-21

Family

ID=83246532

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111105081A TWI836346B (zh) 2021-05-05 2022-02-11 半導體裝置和其形成方法

Country Status (3)

Country Link
US (1) US20220359653A1 (zh)
CN (1) CN115084027A (zh)
TW (1) TWI836346B (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200220018A1 (en) * 2019-01-08 2020-07-09 Samsung Electronics Co., Ltd. Semiconductor devices
TW202036904A (zh) * 2019-03-20 2020-10-01 南韓商三星電子股份有限公司 積體電路裝置及其製造方法
TW202115901A (zh) * 2019-10-08 2021-04-16 台灣積體電路製造股份有限公司 半導體結構
US20210126106A1 (en) * 2019-10-24 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure for semiconductor device
US20210126135A1 (en) * 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200220018A1 (en) * 2019-01-08 2020-07-09 Samsung Electronics Co., Ltd. Semiconductor devices
TW202036904A (zh) * 2019-03-20 2020-10-01 南韓商三星電子股份有限公司 積體電路裝置及其製造方法
TW202115901A (zh) * 2019-10-08 2021-04-16 台灣積體電路製造股份有限公司 半導體結構
US20210126106A1 (en) * 2019-10-24 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure for semiconductor device
US20210126135A1 (en) * 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
TW202245258A (zh) 2022-11-16
CN115084027A (zh) 2022-09-20
US20220359653A1 (en) 2022-11-10

Similar Documents

Publication Publication Date Title
TWI725588B (zh) 半導體裝置的形成方法及半導體裝置
TW202133327A (zh) 半導體裝置
TW202129840A (zh) 半導體裝置及其形成方法
US20240177998A1 (en) Transistor Gate Structure and Method of Forming
TW202339007A (zh) 半導體裝置及其形成方法
TWI796972B (zh) 半導體裝置與其形成之方法
US20220367625A1 (en) Transistor Source/Drain Regions and Methods of Forming the Same
US20220238681A1 (en) Transistor Gates and Methods of Forming
US11908893B2 (en) Semiconductor device and method of forming the same
US20220344516A1 (en) Low ge isolated epitaxial layer growth over nano-sheet architecture design for rp reduction
US20220336636A1 (en) Semiconductor Device and Method
TWI836346B (zh) 半導體裝置和其形成方法
TW202101599A (zh) 半導體裝置之形成方法
TWI839692B (zh) 半導體裝置及其製造方法
TWI832300B (zh) 半導體裝置及其形成方法
TWI760054B (zh) 電晶體及其形成方法
TWI808733B (zh) 半導體裝置及其形成方法
US20230420520A1 (en) Transistor Source/Drain Regions and Methods of Forming the Same
TWI825835B (zh) 半導體元件及其形成方法
US20230317785A1 (en) Source/Drain Regions of Semiconductor Device and Methods of Forming the Same
TW202322399A (zh) 半導體裝置及其製造方法
TW202310013A (zh) 形成半導體裝置的方法
TW202410163A (zh) 奈米結構場效電晶體及其製造方法
TW202230606A (zh) 半導體裝置
TW202310418A (zh) 半導體裝置