TWI839692B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI839692B
TWI839692B TW111106858A TW111106858A TWI839692B TW I839692 B TWI839692 B TW I839692B TW 111106858 A TW111106858 A TW 111106858A TW 111106858 A TW111106858 A TW 111106858A TW I839692 B TWI839692 B TW I839692B
Authority
TW
Taiwan
Prior art keywords
nanostructure
layer
source
liner
drain
Prior art date
Application number
TW111106858A
Other languages
English (en)
Other versions
TW202245255A (zh
Inventor
劉威民
舒麗麗
育佳 楊
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/565,716 external-priority patent/US20220367625A1/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202245255A publication Critical patent/TW202245255A/zh
Application granted granted Critical
Publication of TWI839692B publication Critical patent/TWI839692B/zh

Links

Images

Abstract

一種半導體裝置包含:第一奈米結構;鄰接第一奈米結構之第一通道區域的源極/汲極區域,源極/汲極區域包含:主層及在主層及第一奈米結構之間的第一襯層,第一襯層之碳濃度大於主層之碳濃度;在源極/汲極區域上的層間介電質;以及延伸穿過層間介電質的接點,接點連接至主層,且接點係與第一襯層分開。

Description

半導體裝置及其製造方法
本揭露是關於一種半導體裝置及其製造方法,特別是關於一種奈米結構場效電晶體及其製造方法。
半導體裝置係用於各種電子應用中,例如個人電腦、手機、數位相機及其他電子設備。半導體裝置的製造一般係藉由連續地沉積絕緣層或介電層、導電層及半導體層的材料在半導體基材上,並利用微影來圖案化各種材料層,以形成於其上的電路組件及元件。
半導體工業藉由最小特徵尺寸的持續縮減而繼續優化各種電子組件(例如電晶體、二極體、電阻器、電容器等)的積體密度,其使得更多組件整合至給定區域中。然而,隨著最小特徵尺寸的減少,須處理所產生的額外問題。
本揭露之一態樣係提供一種半導體裝置,其包含:第一奈米結構;鄰接第一奈米結構之第一通道區域的源極/ 汲極區域,源極/汲極區域包含:主層及在主層及第一奈米結構之間的第一襯層,第一襯層之碳濃度大於主層之碳濃度;在源極/汲極區域上的層間介電質;以及延伸穿過層間介電質的接點,接點連接至主層,且接點係與第一襯層分開。
本揭露之另一態樣係提供一種半導體裝置,其包含:奈米結構;鄰接奈米結構之通道區域的源極/汲極區域,源極/汲極區域包含:在奈米結構之側壁上的第一磊晶層,第一磊晶層包括含碳半導體材料及n型摻質;在第一磊晶層上的第二磊晶層,第二磊晶層包括無碳半導體材料及n型摻質;及在第二磊晶層上的第三磊晶層,第三磊晶層包括無碳半導體材料及n型摻質。
本揭露之再一態樣係提供一種半導體裝置的製造方法,其包含:蝕刻在奈米結構內的源極/汲極凹槽,奈米結構之側壁暴露至源極/汲極凹槽;藉由暴露奈米結構之側壁至含半導體前驅物、含阻斷劑前驅物及含摻質前驅物,以成長第一磊晶層在源極/汲極凹槽內;藉由暴露第一磊晶層至含半導體前驅物及含摻質前驅物,以成長第二磊晶層在源極/汲極凹槽內,當成長第二磊晶層時,該第一磊晶層不暴露至含阻斷劑前驅物;沉積介電層在第二磊晶層上;以及形成接點穿過介電層,其中接點連接至第二磊晶層,且接點與第一磊晶層分開。
50:基材
50A:區域
50B:區域
50N:n型區域
50P:p型區域
50S:區域
52:多層堆疊
54:第一半導體層
56:第二半導體層
62:鰭片
64:奈米結構
66:奈米結構
68:通道區域
70:隔離區域
72:虛擬介電層
74:虛擬閘極層
76:罩幕層
82:虛擬介電質
84:虛擬閘極
86:罩幕
88:閘極間隙壁
90:鰭片間隙壁
92:源極/汲極凹槽
92S:側壁凹槽
94:間隙壁層
96:內間隙壁
98:磊晶源極/汲極區域
98A:襯層
98AF:襯層
98AN:襯層
98B:主層
98C:修飾層
102:接觸蝕刻中止層
104:層間介電質
106:凹槽
108:開口
122:閘極介電質
124:閘極電極
132:蝕刻停止層
134:層間介電質
142:閘極接點
144:源極/汲極接點
146:金屬-半導體合金區域
152:底部間隙壁
A-A’,B-B’,C-C’:剖面
D1,D2:深度
T1,T2,T3,T4:厚度
W1:寬度
根據以下詳細說明並配合附圖閱讀,使本揭露的態樣獲致較佳的理解。需注意的是,如同業界的標準作法,許多特徵並不是按照比例繪示的。事實上,為了進行清楚討論,許多特徵的尺寸可以經過任意縮放。
[圖1]係繪示根據一些實施例之奈米結構場效電晶體(nanostructure field-effect transistor,nano-FET)之三維視圖的具體例。
[圖2]至[圖25C]係繪示根據一些實施例之製造奈米結構場效電晶體之中間階段的視圖。
[圖26]係繪示根據另一些實施例之奈米結構場效電晶體的視圖。
[圖27]至[圖31]係繪示根據另一些實施例之製造奈米結構場效電晶體之中間階段的視圖。
[圖32A]至[圖32C]係繪示根據另一些實施例之奈米結構場效電晶體的視圖。
[圖33]係繪示根據另一些實施例之奈米結構場效電晶體的視圖。
[圖34A]至[圖34C]係繪示根據一些實施例之奈米結構場效電晶體的視圖。
以下揭露提供許多不同實施例或例示,以實施發明的不同特徵。以下敘述之組件和配置方式的特定例示是為 了簡化本揭露。這些當然僅是做為例示,其目的不在構成限制。舉例而言,第一特徵形成在第二特徵之上或上方的描述包含第一特徵和第二特徵有直接接觸的實施例,也包含有其他特徵形成在第一特徵和第二特徵之間,以致第一特徵和第二特徵沒有直接接觸的實施例。除此之外,本揭露在各種具體例中重覆元件符號及/或字母。此重覆的目的是為了使說明簡化且清晰,並不表示各種討論的實施例及/或配置之間有關係。
根據各種實施例,n型裝置的源極/汲極區域係以襯層磊晶成長。襯層包含由阻斷劑元素所組成的半導體材料,其有助於減少摻質自源極/汲極區域向外擴散至鄰接的通道區域中。藉由減少摻質擴散至通道區域中,可增加通道遷移率(channel mobility)。因此,可增加裝置效能。
實施例係以特定框架來說明,其係包含奈米結構場效電晶體的晶粒。然而,可實施各種實施例至包含取代或結合奈米結構場效電晶體的其他類型之電晶體[例如鰭式場效電晶體(fin field-effect transistors,finFETs)、平面電晶體等]的晶粒。
圖1係繪示根據一些實施例之奈米結構場效電晶體(nanostructure field-effect transistor,nano-FET)(例如奈米線場效電晶體、奈米片場效電晶體等)的具體例。圖1係三維視圖,其為了清晰易懂,奈米結構場效電晶體的一些特徵係被省略。奈米結構場效電晶體可為奈米片場效電晶體(nanosheet field-effect transistors,NSFETs)、奈米線場效電晶體(nanowire field-effect transistors,NWFETs)、環繞式閘極場效電晶體(gate-all-around field-effect transistors,GAAFETs)等。
奈米結構場效電晶體包含在基材50上之鰭片62上的奈米結構66(例如奈米片、奈米線等),其中奈米結構66係做為奈米結構場效電晶體的通道區域。奈米結構66可包含p型奈米結構、n型奈米結構或其組合。隔離區域70[例如淺溝渠隔離結構(shallow trench isolation,STI)]係設置在相鄰鰭片62之間,其可自相鄰隔離區域70之間突出在相鄰隔離區域70上。雖然隔離區域70係描述/繪示為與基材50分開,如本文所述之用語「基材」可表示單獨的半導體基材或半導體基材與隔離區域的組合。除此之外,雖然鰭片62之底部部分係繪示為單一且與基材50連續的材料,鰭片62之底部部分及/或基材50可包含單一材料或複數個材料。在本說明書中,鰭片62表示自相鄰隔離區域70之間並延伸於相鄰隔離區域70上的部分。
閘極介電質122係在鰭片62之頂表面上,並圍繞奈米結構66之頂表面、側壁及底表面。閘極電極124係在閘極介電質122上,並圍繞閘極介電質122。磊晶源極/汲極區域98係設置於閘極介電質122及閘極電極124之相對側的鰭片62上。層間介電質(inter-layer dielectric,ILD)104係形成在磊晶源極/汲極區域98上。對磊晶源極/汲極區域98的源極/汲極接點(以下說明) 係形成為穿過層間介電質104。磊晶源極/汲極區域98可分配在各種奈米結構66及鰭片62之間。舉例而言,相鄰磊晶源極/汲極區域98係電性連接,例如透過合併藉由磊晶成長的磊晶源極/汲極區域98,或透過以相同源極/汲極接點連接磊晶源極/汲極區域98。
圖1進一步繪示用於後續圖式中的參考剖面。剖面A-A’係沿著鰭片62的縱軸,且在例如奈米結構場效電晶體之磊晶源極/汲極區域98之間的電流方向上。剖面B-B’係沿著閘極電極124的縱軸,且在例如垂直於奈米結構場效電晶體之磊晶源極/汲極區域98之間的電流方向上。剖面C-C’係平行於剖面B-B’,並延伸穿過奈米結構場效電晶體之磊晶源極/汲極區域98。為了清晰易懂,後續圖式係表示這些參考剖面。
本文說明的一些實施例係以利用閘極後製製程(gate-last process)之奈米結構場效電晶體的框架來說明。在另一些實施例中,可使用閘極優先製程(gate-first process)。再者,一些實施例包含用於平面裝置(例如平面場效電晶體)或鰭式場效電晶體中的態樣。舉例而言,鰭式場效電晶體可包含在基材上的鰭片,其鰭中係做為鰭式場效電晶體的通道區域。相似地,平面場效電晶體可包含基材,其基材之部分係做為平面場效電晶體的通道區域。
圖2至圖25C係繪示根據一些實施例之製造奈米結構場效電晶體之中間階段的視圖。圖2至圖6係顯示相似於圖1之三維視圖的三維視圖。圖7A、圖8A、圖9A、 圖10A、圖11A、圖14A、圖15、圖16、圖17、圖20A、圖21A、圖22A、圖23A、圖24A及圖25A係繪示圖1所示之A-A’剖面。圖7B、圖8B、圖9B、圖10B、圖11B、圖14B、圖20B、圖21B、圖22B、圖23B、圖24B及圖25B係繪示圖1所示之B-B’剖面。圖7C、圖8C、圖9C、圖10C、圖11C、圖14C、圖20C、圖21C、圖22C、圖23C、圖24C及圖25C係繪示圖1所示之C-C’剖面。
在圖2中,基材50係提供以形成奈米結構場效電晶體。基材50可為半導體基材、例如主體半導體、絕緣體上覆半導體(semiconductor-on-insulator,SOI)基材等,其可為摻雜(例如以p型或n型雜質)或未摻雜。基材50可為晶圓,例如矽晶圓。一般而言,SOI基材係形成在絕緣層上的半導體材料層。絕緣層可為例如埋入氧化層(buried oxide,BOX)、氧化矽層等。絕緣層係提供在基材上,一般為矽或玻璃基材。也可使用其他基材,例如多層或梯度基材。在一些實施例中,基材50的半導體材料可包含矽;鍺;包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦的化合物半導體;包含矽鍺、磷砷化鎵、砷化銦鋁、砷化鋁鎵、砷銦化鎵、磷化銦鎵及/或磷銦砷化鎵的合金半導體;及其組合等。
基材50具有n型區域50N及p型區域50P。n型區域50N可用以形成n型裝置,例如NMOS電晶體(如n型奈米結構場效電晶體),而p型區域50P可用以形成p 型裝置,例如PMOS電晶體(如p型奈米結構場效電晶體)。n型區域50N係實體上與p型區域50P分開(未分別繪示),且任意數量的裝置特徵(例如其他主動裝置、摻雜區域、隔離結構等)係設置在n型區域50N及p型區域50P之間。雖然係繪示一個n型區域50N及一個p型區域50P,可提供任意數量的n型區域50N及p型區域50P。
基材50係以p型或n型雜質輕摻雜。防穿通(anti-punch-through,APT)佈植係在基材50之上部部分上進行,以形成APT區域。在防穿通佈植過程中,雜質可植入基材50內。雜質具有之導電型態係與源極/汲極區域在後續n型區域50N及p型區域50P之每一者內形成的導電型態相反。APT區域可延伸在奈米結構場效電晶體內的源極/汲極區域下。APT區域可用以減少從源極/汲極區域中洩漏至基材50。在一些實施例中,在APT區域內的雜質濃度可在1018cm-3至1019cm-3的範圍內。
多層堆疊52係形成在基材50上。多層堆疊52包含交替的第一半導體層54及第二半導體層56。第一半導體層54係由第一半導體材料所組成,而第二半導體層56係由第二半導體材料所組成。半導體材料之每一者係選自基材50之候選半導體材料。在繪示的實施例中,多層堆疊52包含各三層的第一半導體層54及第二半導體層56。須理解的是,多層堆疊52包含任何數量的第一半導體層54及第二半導體層56。
在繪示的實施例中,且會在後續更詳細說明,第一 半導體層54會被移除,且第二半導體層56會被圖案化,以形成在n型區域50N及p型區域50P二者內之奈米結構場效電晶體的通道區域。第一半導體層54為犠牲層(或虛擬層),其會在後續製程中被移除,以暴露出第二半導體層56之頂表面及底表面。第一半導體層54的第一半導體材料係具有相對於蝕刻第二半導體層56之高蝕刻選擇性的材料,例如矽鍺。第二半導體層56的第二半導體材料係對n型裝置及p型裝置皆適合的材料,例如矽。
在另一實施例中(未分別繪示),第一半導體層54會被圖案化以形成在一區域(例如p型區域50P)之奈米結構場效電晶體的通道區域,而第二半導體層56會被圖案化以形成在另一區域(例如n型區域50N)之奈米結構場效電晶體的通道區域。第一半導體層54的第一半導體材料可為適合p型裝置的材料,例如矽鍺(例如SixGe1-x,其中x之範圍為0至1)、純鍺、III-V族化合物半導體、II-VI族化合物半導體等。第二半導體層56的第二半導體材料可為適合n型裝置的材料,例如矽、碳化矽、III-V族化合物半導體、II-VI族化合物半導體等。第一半導體材料及第二半導體材料可具有相對於蝕刻彼此的高蝕刻選擇性,故在n型區域50N內,可移除第一半導體層54,而不移除第二半導體層56,且在p型區域50P內,可移除第二半導體層56,而不移除第一半導體層54。
多層堆疊52之每一層可藉由例如氣相磊晶(vapor phase epitaxy,VPE)或分子束磊晶 (molecular beam epitaxy,MBE)的製程成長,藉由例如化學氣相沉積(chemical vapor deposition,CVD)或原子層沉積(atomic layer deposition,ALD)的製程沉積等。每一層可具有小的厚度,例如5nm至30nm的厚度。在一些實施例中,多層堆疊52的一些層(例如第二半導體層56)係形成為比多層堆疊52的另一些層(例如第一半導體層54)更薄。
在圖3中,溝槽係在基材50及多層堆疊52內被圖案化,以形成鰭片62、第一奈米結構64及第二奈米結構66。鰭片62係在基材50內被圖案化的半導體條。第一奈米結構64及第二奈米結構66分別包含第一半導體層54及第二半導體層56之剩餘部分。溝槽可藉由任何合適的蝕刻製程而被圖案化,例如反應性離子蝕刻(reactive ion etch,RIE)、中性粒子束蝕刻(neutral beam etch,NBE)、相似者或前述之組合。蝕刻可為異向性。
鰭片62、奈米結構64及奈米結構66可藉由任何合適的方法來被圖案化。舉例而言,鰭片62、奈米結構64及奈米結構66可利用一或多個微影製程而被圖案化,其包含雙重成像(double-patterning)或多重成像(multi-patterning)製程。一般而言,雙重成像或多重成像製程結合光微影及自對準製程,使圖案被製作為例如間距小於利用單一且直接的光微影製程所獲得之間距。舉例而言,在一實施例中,犠牲層係形成在基材上,並利用光微影製程來圖案化。間隙壁係利用自對準製程而形成為 沿著被圖案化犠牲層。然後,犠牲層被移除,接著剩餘的間隙壁可用做罩幕,以圖案化鰭片62、奈米結構64及奈米結構66。在一些實施例中,罩幕(或其他層)可保留在奈米結構64及奈米結構66上。
鰭片62、奈米結構64及奈米結構66之每一者之寬度範圍為8nm至40nm。在繪示的實施例中,鰭片62、奈米結構64及奈米結構66在n型區域50N及p型區域50P具有實質相等的寬度。在另一實施例中,在一區域(例如n型區域50N)內的鰭片62、奈米結構64及奈米結構66係比在另一區域(例如p型區域50P)內的鰭片62、奈米結構64及奈米結構66更寬或更窄。
在圖4中,淺溝渠隔離區域70係形成在基材50上且在相鄰鰭片62之間。淺溝渠隔離區域70係設置在鰭片62之至少一部分周圍,以使奈米結構64及奈米結構66自相鄰淺溝渠隔離區域70之間突出。在繪示的實施例中,淺溝渠隔離區域70之頂表面與鰭片62之頂表面係共平面(在製程變化中)。在一些實施例中,淺溝渠隔離區域70之頂表面係在鰭片62之頂表面上或下。淺溝渠隔離區域70分開相鄰裝置的特徵。
淺溝渠隔離區域70可藉由任何合適的方法來形成。舉例而言,絕緣材料可形成在基材50、奈米結構64及奈米結構66上且在相鄰鰭片62之間。絕緣材料可為氧化物(例如氧化矽)、氮化物(例如氮化矽)、相似者或前述之組合,其可藉由化學氣相沉積製程來形成,例如高密度電漿 化學氣相沉積(high density plasma CVD,HDP-CVD)、流動式化學氣相沉積(flowable chemical vapor deposition,FCVD)、相似者或前述之組合。可使用藉由任何合適之製程所形成的其他絕緣材料。在一些實施例中,絕緣材料係由流動式化學氣相沉積所形成的氧化矽。絕緣材料一形成時,可進行退火製程。在一實施例中,絕緣材料係形成為使過量的絕緣材料覆蓋奈米結構64及奈米結構66。雖然淺溝渠隔離區域70之每一者係繪示為單層,一些實施例可使用多層。舉例而言,在一些實施例中,襯墊(未分別繪示)可先沿著基材50、鰭片62、奈米結構64及奈米結構66之表面形成。然後,例如前述之填充材料可形成在襯墊上。
接著,對絕緣材料施以移除製程,以移除在奈米結構64及奈米結構66上的過量絕緣材料。在一些實施例中,可使用平坦化製程,例如化學機械研磨(chemical mechanical polish,CMP)、回蝕製程、前述之組合等。在罩幕保留在奈米結構64及奈米結構66上的實施例中,平坦化製程可暴露出罩幕或移除罩幕。在平坦化製程之後,絕緣材料及罩幕(若存在的話)之頂表面或奈米結構64及奈米結構66係共平面(在製程變化中)。因此,罩幕(若存在的話)之頂表面或奈米結構64及奈米結構66係透過絕緣材料而被暴露。在繪示的實施例中,沒有罩幕保留在奈米結構64及奈米結構66上。接著,絕緣材料係被凹陷,以形成淺溝渠隔離區域70。絕緣材料係被凹陷,以使奈米 結構64及奈米結構66之至少一部分自相鄰的絕緣材料之部分之間突出。再者,淺溝渠隔離區域70之頂表面可具有如繪示的平坦表面、凸面、凹面(例如碟狀)或前述之組合。淺溝渠隔離區域70之頂表面可藉由適當的蝕刻而形成平坦的、凸面的及/或凹面的。絕緣材料可利用任何合適的蝕刻製程而被凹陷,例如對絕緣材料之材料有選擇性的(例如相對於鰭片62、奈米結構64及奈米結構66之材料,選擇性地以較快的速率蝕刻淺溝渠隔離區域70之絕緣材料)。舉例而言,可利用稀釋氫氟酸(dilute hydrofluoric acid)(dHF)進行氧化物的移除。
前述製程僅為鰭片62、奈米結構64及奈米結構66如何形成的一具體例。在一些實施例中,鰭片62及/或奈米結構64、奈米結構66可利用罩幕及磊晶成長製程來形成。舉例而言,介電層可形成在基材50之頂表面上,且溝槽可被蝕刻穿過介電層,以暴露出下層的基材50。磊晶結構可磊晶成長在溝槽內,且介電層可被凹陷,以使磊晶結構自介電層突出而形成鰭片62及/或奈米結構64、奈米結構66。磊晶結構可包含交替的前述半導體材料,例如第一半導體材料及第二半導體材料。在磊晶結構係磊晶成長的一些實施例中,磊晶成長的材料可在成長期間被原位摻雜,其可排除之前及/或之後的佈植,雖然原位及佈植摻雜可一起使用。
再者,適當的阱區(未分別繪示)可藉由摻雜(例如以p型或n型雜質)形成在奈米結構64、奈米結構66、鰭 片62及/或基材50中。阱區之導電型態係與源極/汲極區域之導電型態相反,其中源極/汲極區域係於後續形成在n型區域50N及p型區域50P之每一者內。在一些實施例中,p型阱係形成在n型區域50N內,而n型阱係形成在p型區域50P內。在一些實施例中,p型阱或n型阱係形成在n型區域50N及p型區域50P二者內。
在具有不同阱型態的實施例中,對n型區域50N及p型區域50P的不同佈植步驟可利用例如光阻的罩幕(未分別繪示)而達成。舉例而言,光阻係形成在n型區域50N內的鰭片62、奈米結構64、奈米結構66及淺溝渠隔離區域70上。光阻係被圖案化,以暴露出p型區域50P。光阻可藉由利用旋塗技術形成,且可利用合適的光微影技術而被圖案化。一旦光阻被圖案化,在p型區域50P內可進行n型雜質佈植,而光阻可做為罩幕以實質上防止n型雜質被植入n型區域50N中。n型雜質可為磷、砷、銻等,其植入區域內的濃度範圍為1013cm-3至1014cm-3。在佈植之後,光阻可被移除,例如藉由任何合適的灰化製程。
接續或在p型區域50P的佈植之前,例如光阻的罩幕(未分別繪示)係形成在p型區域50P內的鰭片62、奈米結構64、奈米結構66及淺溝渠隔離區域70上。光阻係被圖案化,以暴露出n型區域50N。光阻可藉由利用旋塗技術形成,且可利用合適的光微影技術而被圖案化。一旦光阻被圖案化,在n型區域50N內可進行p型雜質佈植,而光阻可做為罩幕以實質上防止p型雜質被植入p型 區域50P中。p型雜質可為硼、氟化硼、銦等,其植入區域內的濃度範圍為1013cm-3至1014cm-3。在佈植之後,光阻可被移除,例如藉由任何合適的灰化製程。
在n型區域50N及p型區域50P的佈植之後,可進行退火,以修復佈植破壞並活化被植入的p型及/或n型雜質。在磊晶結構係為了鰭片62、奈米結構64及/或奈米結構66磊晶成長的一些實施例中,成長材料可在成長期間被原位摻雜,其可排除佈植,雖然原位及佈植摻雜可一起使用。
在圖5中,虛擬介電層72係形成在鰭片62、奈米結構64及奈米結構66上。虛擬介電層72可由介電材料所組成,例如氧化矽、氮化矽、其組合等,其可根據合適的技術來沉積或熱成長。虛擬閘極層74係形成在虛擬介電層72上,且罩幕層76係形成在虛擬閘極層74上。虛擬閘極層74可沉積在虛擬介電層72上,然後被平坦化,例如藉由化學機械研磨。虛擬閘極層74係由導電或非導電材料所組成,例如無定形矽、多晶矽(polysilicon)、多晶矽鍺(poly-SiGe)、金屬、金屬氮化物、金屬矽化物、金屬氧化物等,其可藉由物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積等來沉積。虛擬閘極層74可由具有相對於蝕刻絕緣材料(例如淺溝渠隔離區域70及/或虛擬介電層72)之高蝕刻選擇性的材料所組成。罩幕層76可沉積在虛擬閘極層74上。罩幕層76可由介電材料所組成,例如氮化矽、氮氧化矽等。在此具體例中, 單一虛擬閘極層74及單一罩幕層76係形成為跨越n型區域50N及p型區域50P。在繪示的實施例中,虛擬介電層72覆蓋鰭片62、奈米結構64、奈米結構66及淺溝渠隔離區域70,以使虛擬介電層72延伸在淺溝渠隔離區域70上,並在虛擬閘極層74及淺溝渠隔離區域70之間。在另一實施例中,虛擬介電層72僅覆蓋鰭片62、奈米結構64及奈米結構66。
在圖6中,利用合適的光微影及蝕刻技術圖案化罩幕層76,以形成罩幕86。接著,藉由任何合適的蝕刻技術轉移罩幕86的圖案至虛擬閘極層74,以形成虛擬閘極84。罩幕86的圖案可藉由任何合適的蝕刻技術選擇性地進一步轉移至虛擬介電層72,以形成虛擬介電質82。虛擬閘極84覆蓋會在後續製程中暴露的奈米結構64及奈米結構66之部分,以形成通道區域。特別地,虛擬閘極84沿著將被圖案化的第二奈米結構66之部分延伸,以形成通道區域68。罩幕86之圖案可被用來實體分離相鄰的虛擬閘極84。虛擬閘極84之縱向方向亦(在製程變化中)實質垂直於鰭片62之縱向方向。罩幕86可選擇性地在圖案化之後被移除,例如藉由任何合適的蝕刻技術。
圖7A至圖11C、圖14A至圖17及圖20A至圖25C係繪示在製造實施例裝置中的各種額外步驟。圖7A至圖11C、圖14A至圖17及圖20A至圖25C係繪示在n型區域50N及p型區域50P二者之一中的特徵。舉例而言,繪示的結構可應用於n型區域50N及p型區域50P 二者。在n型區域50N及p型區域50P之結構內的差異(若有任何差異)係依隨附之每一個圖式說明來解釋。
在圖7A至圖7C中,閘極間隙壁88係形成在奈米結構64及奈米結構66上方,於罩幕86(若存在的話)、虛擬閘極84及虛擬介電質82之暴露側壁上。閘極間隙壁88可藉由共形沉積一或多個介電材料及接著蝕刻介電材料而形成。合適的介電材料可包含氧化矽、氮化矽、氮氧化矽、碳氮氧化矽等,其可藉由共形沉積製程而形成,例如化學氣相沉積、電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)、原子層沉積、電漿輔助原子層沉積(plasma-enhanced atomic layer deposition,PEALD)等。可使用藉由任何合適製程所形成的其他絕緣材料。可進行任何合適的蝕刻製程(例如乾式蝕刻、濕式蝕刻等或其組合),以圖案化介電材料。蝕刻可為異向性。當被蝕刻時,介電材料具有部分留在虛擬閘極84之側壁上(因此形成閘極間隙壁88)。當被蝕刻時,介電材料亦具有部分留在鰭片62及/或奈米結構64、奈米結構66之側壁上(因此形成鰭片間隙壁90)。在蝕刻之後,鰭片間隙壁90(若存在的話)及閘極間隙壁88可具有筆直的側壁(如所繪示)或可具有彎曲的側壁(未分別繪示)。
再者,可進行佈植以形成輕摻雜源極/汲極(lightly doped source/drain,LDD)區域(未分別繪示)。在具有不同裝置型態的實施例中,相似於前述阱區的 佈植,例如光阻的罩幕(未分別繪示)可形成在n型區域50N上,而暴露出p型區域50P,且適當型態(例如p型)的雜質可植入暴露在p型區域50P內的鰭片62及/或奈米結構64、奈米結構66。然後,罩幕可被移除。接著,例如光阻的罩幕(未分別繪示)可形成在p型區域50P上,而暴露出n型區域50N,且適當型態(例如n型)的雜質可植入暴露在n型區域50N內的鰭片62及/或奈米結構64、奈米結構66。然後,罩幕可被移除。n型雜質可為任何前述之n型雜質,而p型雜質可為任何前述之p型雜質。在佈植期間,通道區域68維持為被虛擬閘極84所覆蓋,故通道區域68保持實質不具有佈植的雜質,以形成輕摻雜源極/汲極區域。輕摻雜源極/汲極區域之雜質濃度可為1015cm-3至1019cm-3。可利用退火,以修復佈植破壞並活化植入的雜質。
須注意的是,前述揭露一般描述形成間隙壁及輕摻雜源極/汲極區域的製程。可使用其他製程及順序。舉例而言,可使用較少或額外的間隙壁,可使用不同的步驟順序,可形成及移除額外的間隙壁及/或相似者。再者,可利用不同的結構及步驟形成n型裝置及p型裝置。
在圖8A至圖8C中,源極/汲極凹槽92係形成在奈米結構64及奈米結構66中。在繪示的實施例中,源極/汲極凹槽92延伸穿過奈米結構64及奈米結構66及至鰭片62中。源極/汲極凹槽92亦可延伸至基材50中。在各種實施例中,源極/汲極凹槽92可延伸至基材50之頂表 面,而不蝕刻基材50;鰭片62可被蝕刻,以使源極/汲極凹槽92之底表面設置在淺溝渠隔離區域70之頂表面下方;或相似者。可藉由利用異向性蝕刻製程(例如反應性離子蝕刻、中性粒子束蝕刻等)蝕刻奈米結構64及奈米結構66而形成源極/汲極凹槽92。在蝕刻製程用以形成源極/汲極凹槽92期間,閘極間隙壁88及虛擬閘極84共同地遮蔽鰭片62及/或奈米結構64、奈米結構66之部分。單一蝕刻製程可用以蝕刻奈米結構64、奈米結構66之每一者,或多樣蝕刻製程可用以蝕刻奈米結構64、奈米結構66。在源極/汲極凹槽92達到特定深度之後,定時蝕刻製程可用以停止源極/汲極凹槽92的蝕刻。在源極/汲極凹槽92的蝕刻期間或之後,可蝕刻鰭片間隙壁90(若存在的話),以使鰭片間隙壁90之高度減少。會在後續形成於源極/汲極凹槽92內的源極/汲極區域之尺寸可藉由調整鰭片間隙壁90之高度來控制。
在圖9A至圖9C中,源極/汲極凹槽92係橫向擴張,以形成側壁凹槽92S在源極/汲極凹槽92內。特別地,被源極/汲極凹槽92暴露的第一奈米結構64之側壁的部分係被凹陷。雖然第一奈米結構64之側壁係繪示為筆直,側壁可為凹面的或凸面的。側壁可藉由任何合適的蝕刻製程而被凹陷,例如對第一奈米結構64之材料有選擇性者(例如相對於第二奈米結構66之材料,以較快的速率選擇性地蝕刻第一奈米結構64之材料)。蝕刻可為等向性。舉例而言,當第二奈米結構66係由矽所組成,而第一奈米結 構64係由矽鍺所組成,蝕刻製程可為濕式蝕刻,其利用四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)、氫氧化銨(NH4OH)等。在另一實施例中,蝕刻製程可為乾式蝕刻,其利用例如氟化氫氣體的氟基氣體。在一些實施例中,相同蝕刻製程可連續地進行,以形成源極/汲極凹槽92及凹陷第一奈米結構64之側壁。
在一些實施例中,閘極間隙壁88之寬度係減少,例如藉由用以形成及/或擴張源極/汲極凹槽92的蝕刻製程。再者,第二奈米結構66之側壁可被蝕刻,其係藉由用以形成及/或擴張源極/汲極凹槽92的蝕刻製程。在一些實施例中,第二奈米結構66之側壁在此製程階段中是圓形凸面側壁。
在圖10A至圖10C中,間隙壁層94係沉積在包含側壁凹槽92S(參照圖9A至圖9C)的源極/汲極凹槽92內。間隙壁層94亦可沉積在淺溝渠隔離區域70上。間隙壁層94係由介電材料所組成,例如氮化矽、氮氧化矽、碳氮氧化矽等,其係藉由共形沉積製程來沉積,例如原子層沉積、化學氣相沉積等。可使用低k介電材料(例如具有k值低於約3.5的介電材料)。可使用藉由任何合適的製程所形成之其他絕緣材料。
在圖11A至圖11C中,間隙壁層94係被圖案化,以形成內間隙壁96在源極/汲極凹槽92的側壁凹槽92S(參照圖9A至圖9C)內。間隙壁層94可藉由任何合適的蝕刻製程而被圖案化,例如反應性離子蝕刻、中性粒 子束蝕刻、相似者或前述之組合。蝕刻可為異向性。雖然內間隙壁96之外側壁係繪示為與閘極間隙壁88之側壁齊平,內間隙壁96之外側壁可往後延伸或自閘極間隙壁88之側壁被凹陷。換言之,內間隙壁96可部分地填充、完全地填充或過度填充側壁凹槽92S。再者,雖然內間隙壁96之側壁係繪示為凹面,內間隙壁96之側壁可為垂直或凸面。
在形成之後,內間隙壁96係設置在第一奈米結構64之剩餘部分的側壁上,例如被源極/汲極凹槽92暴露的那些側壁。如後續更詳細地說明,源極/汲極區域會在後續形成在源極/汲極凹槽92內,且第一奈米結構64會在後續被對應的閘極結構所取代。內間隙壁96做為後續形成之源極/汲極區域及後續形成之閘極結構之間的隔離特徵。再者,內間隙壁96可用以實質防止後續蝕刻製程對後續形成之源極/汲極區域的破壞,例如用於後續移除第一奈米結構64的蝕刻製程。
在此實施例中,間隙壁層94係自源極/汲極凹槽92之底部移除,故沒有間隙壁形成在源極/汲極凹槽92之底部上。在另一些實施例中(後續以圖32A至圖33說明),用以形成內間隙壁96的間隙壁蝕刻係被調整,以同樣形成間隙壁在源極/汲極凹槽92之底部上,例如在鰭片62之表面上。
在源極/汲極凹槽92及內間隙壁96形成之後,第二奈米結構66可具有各種側壁型態。圖12A至圖12E係 繪示圖11A中之區域50S內的不同側壁型態。第二奈米結構66之側壁可為圓形凸面側壁,如圖12A所示。第二奈米結構66之側壁可為多角凸面側壁,如圖12B所示。第二奈米結構66之側壁可為筆直側壁,如圖12C所示。第二奈米結構66之側壁可為多角凹面側壁,如圖12D所示。第二奈米結構66之側壁可為圓形凹面側壁,如圖12E所示。後續製程步驟係以圖12A的實施例繪示,然而可在任何實施例中進行那些製程步驟。
再者,在源極/汲極凹槽92及內間隙壁96形成之後,延伸至鰭片62的源極/汲極凹槽92可具有不同的底部型態。圖13A至圖13C係繪示圖11A中之區域50B內的不同底部型態。延伸至鰭片62的源極/汲極凹槽92之底部可為淺的圓形凹面底部,如圖13A所示。延伸至鰭片62的源極/汲極凹槽92之底部可為深的圓形凹面底部,如圖13B所示。相較於圖13A所示之淺的圓形凹面底部,圖13B所示之深的圓形凹面底部延伸更多至鰭片62。在一些實施例中,淺的圓形凹面底部之深度D1為3nm至20nm,而深的圓形凹面底部之深度D2為20nm至50nm。延伸至鰭片62的源極/汲極凹槽92之底部可為多角凹面底部,如圖13C所示。後續製程步驟係以圖13A的實施例繪示,然而可在任何實施例中進行那些製程步驟。
第二奈米結構66及/或源極/汲極凹槽92係藉由合適的蝕刻而成形為具有參照圖12A至圖13C所述之側壁類型及/或底部類型。第二奈米結構66及/或源極/汲極 凹槽92的成形係由於起始用於形成源極/汲極凹槽92(參照圖8A至圖8C)的蝕刻、由於用於擴張源極/汲極凹槽92(參照圖9A至圖9C)的蝕刻及/或由於用於圖案化間隙壁層94(參照圖11A至圖11C)的蝕刻而發生。第二奈米結構66及/或源極/汲極凹槽92亦可藉由在內間隙壁96形成之後的分別蝕刻而成形。在一些實施例中,蝕刻為異向性濕式蝕刻,其係以氫氧化鉀(KOH)、四甲基氫氧化銨(TMAH)及乙二胺磷苯二酚(ethylenediamine pyrocatechol,EDP)進行。在一些實施例中,蝕刻為異向性乾式蝕刻,其係當以偏壓或偏功率產生電漿時,以四氟化碳(CF4)、氟甲烷(CH3F)、溴化氫(HBr)及氧氣在氦(He)及/或氫(Ar)內進行。在一些實施例中,蝕刻為等向性乾式蝕刻,其係以三氟化氮(NF3)、氯氣(Cl2)及氫氣在氦(He)及/或氫(Ar)內進行。在一些實施例中,蝕刻係前述製程的組合。
在圖14A至圖14C中,磊晶源極/汲極區域98係形成在源極/汲極凹槽92內。磊晶源極/汲極區域98係形成在源極/汲極凹槽92內,以使每一個虛擬閘極84(及對應的通道區域68)設置在各別相鄰之多對磊晶源極/汲極區域98之間。在一些實施例中,閘極間隙壁88及內間隙壁96係藉由適當的側向距離,使磊晶源極/汲極區域98分別與虛擬閘極84及第一奈米結構64分開,故磊晶源極/汲極區域98不與所得之奈米結構場效電晶體後續形成的閘極發生短路。磊晶源極/汲極區域98的材料係選擇為在 各通道區域68內施加壓力,藉以優化效能。
在n型區域50N內的磊晶源極/汲極區域98係藉由遮蔽p型區域50P而形成。然後,n型區域50N內的磊晶源極/汲極區域98係在n型區域50N內的源極/汲極凹槽92中磊晶成長。磊晶源極/汲極區域98可包含任何適用於n型裝置的合適材料。舉例而言,若第二奈米結構66為矽,n型區域50N內的磊晶源極/汲極區域98可包含施加拉伸應變在通道區域68上的材料,例如矽、碳化矽、磷摻雜碳化矽、磷化矽等。n型區域50N內的磊晶源極/汲極區域98可稱為「n型源極/汲極區域」。n型區域50N內的磊晶源極/汲極區域98可具有自鰭片62及奈米結構64、奈米結構66之各別表面提高的表面,且可具有刻面(facets)。
在p型區域50P內的磊晶源極/汲極區域98係藉由遮蔽n型區域50N而形成。然後,p型區域50P內的磊晶源極/汲極區域98係在p型區域50P內的源極/汲極凹槽92中磊晶成長。磊晶源極/汲極區域98可包含任何適用於p型裝置的合適材料。舉例而言,若第二奈米結構66為矽,p型區域50P內的磊晶源極/汲極區域98可包含施加壓縮應變在通道區域68上的材料,例如矽鍺、硼摻雜矽鍺、鍺、鍺錫(germanium tin)等。p型區域50P內的磊晶源極/汲極區域98可稱為「p型源極/汲極區域」。p型區域50P內的磊晶源極/汲極區域98可具有自鰭片62及奈米結構64、奈米結構66之各別表面提高的表面,且 可具有刻面。
磊晶源極/汲極區域98、奈米結構64、奈米結構66及/或鰭片62係以雜質佈植,以形成源極/汲極區域,相似於前述之用以形成輕摻雜源極/汲極區域,接著進行退火的製程。源極/汲極區域可具有之雜質濃度範圍為1019cm-3至1021cm-3。源極/汲極區域的n型雜質及/或p型雜質可為任何前述之雜質。在一些實施例中,磊晶源極/汲極區域98可在成長過程中原位摻雜。
由於用以形成磊晶源極/汲極區域98的磊晶製程,磊晶源極/汲極區域的上表面具有刻面,其係橫向向外擴張至鰭片62、奈米結構64及奈米結構66之側壁之外。在一些實施例中,這些刻面造成相鄰磊晶源極/汲極區域98合併成如圖14C所繪示。在一些實施例中,在磊晶製程完成之後(未分別繪示),相鄰磊晶源極/汲極區域98維持分離。在繪示的實施例中,用以形成閘極間隙壁88的間隙壁蝕刻係調整為亦形成鰭片間隙壁90在鰭片62、奈米結構64及奈米結構66之側壁上。鰭片間隙壁90係形成以覆蓋鰭片62及/或奈米結構64、奈米結構66之側壁延伸在淺溝渠隔離區域70上的一部分,藉以阻擋磊晶成長。在另一實施例中,用以形成閘極間隙壁88的間隙壁蝕刻係調整為不形成鰭片間隙壁,以使磊晶源極/汲極區域98延伸至淺溝渠隔離區域70之表面。
磊晶源極/汲極區域98包含多個半導體材料層。特別地,每一個磊晶源極/汲極區域98包含襯層98A、主 層98B及(選擇性的)修飾層(finishing layer)98C(或更一般而言,第一磊晶層、第二磊晶層及第三磊晶層)。其他數量的半導體材料層可用做磊晶源極/汲極區域98。襯層98A係成長在源極/汲極凹槽92內的半導體特徵之表面(例如鰭片62及第二奈米結構66之表面)上。主層98B係成長在襯層98A上。修飾層98C(若存在的話)係成長在主層98B上。襯層98A、主層98B及修飾層98C之每一者可由不同的半導體材料所組成,且可以不同的雜質濃度來摻雜(例如以p型雜質或n型雜質)。在一些實施例中,主層98B具有比修飾層98C更大的雜質濃度,而修飾層98C具有比襯層98A更大的雜質濃度。形成具有少於主層98B之雜質濃度的襯層98A可增加在源極/汲極凹槽92內的黏著性,而形成具有少於主層98B之雜質濃度的修飾層98C可在後續製程中減少自主層98B向外擴散的摻質。
當第二奈米結構66係由矽所組成,且第一奈米結構64係由矽鍺所組成時,拉伸應變可施加在n型區域50N內的通道區域68上,在n型區域50N內之磊晶源極/汲極區域98的成長期間,增加摻質擴散至通道區域68的傾向。舉例而言,在源極/汲極凹槽92形成在奈米結構64及奈米結構66中之後,高達0.5%的拉伸應變可施加在n型區域50N內的通道區域68上。根據各種實施例,n型區域50N內的襯層係由有助於減少摻質自主層98B向外擴散至第二奈米結構66或鰭片62的材料所組成。可因此減少 摻質擴散至通道區域68,藉以增加通道遷移率,且因而增加裝置效能。
在n型區域50N內的襯層98A的半導體材料為化合物半導體,其係由半導體元素及阻斷劑元素(blocker element)所組成。阻斷劑元素有助於襯層98A的半導體材料抑制n型摻質(例如磷、砷等)的擴散。在一些實施例中,半導體元素是矽,而阻斷劑元素是碳。主層98B及/或修飾層98C(若存在的話)的半導體材料不是由阻斷劑元素所組成。在一些實施例中,主層98B及/或修飾層98C係由相同半導體材料形成,且此半導體材料不是由阻斷劑元素所組成。換言之,襯層98A的半導體材料可為含碳半導體材料(例如碳化矽),但主層98B及/或修飾層98C的半導體材料可為無碳半導體材料(例如矽)。襯層98A之半導體材料內的阻斷劑元素之濃度決定襯層98A減少摻質自主層98B向外擴散的程度。在一些實施例中,襯層98A的半導體材料具有阻斷劑元素之濃度(例如碳濃度)為0.1原子百分比(at%)至2at%。形成襯層98A的半導體材料之阻斷劑元素濃度係小於0.1at%,則無法足夠地減少摻質自主層98B向外擴散,進而降低裝置效能。形成襯層98A的半導體材料之阻斷劑元素濃度係大於2at%,則可造成磊晶源極/汲極區域98的電阻過高,進而降低裝置效能。相對於主層98B及修飾層98C,襯層98A具有較大的阻斷劑元素之濃度,例如相對於主層98B及修飾層98C,襯層98A具有較大的碳濃度。
在n型區域50N內的層98A、層98B及層98C之一或多者進一步包含適當類型的雜質(例如n型),以形成源極/汲極區域,其係不同於阻斷劑元素。n型雜質可為前述的任何n型雜質。在一些實施例中,層98A、層98B及層98C之每一者包含雜質。舉例而言,當n型雜質為磷,修飾層98C係由磷摻雜矽所組成,主層98B係由磷摻雜矽所組成,而襯層98A係由磷摻雜碳化矽或未摻雜碳化矽所組成。相似地,當n型摻質為砷,修飾層98C係由砷摻雜矽所組成,主層98B係由砷摻雜矽所組成,而襯層98A係由砷摻雜碳化矽或未摻雜碳化矽所組成。襯層98A及主層98B內的雜質濃度決定襯層98A減少摻質自主層98B向外擴散的程度。在一些實施例中,襯層98A之雜質濃度範圍為2×1020cm-3至2×1021cm-3。在一些實施例中,主層98B之雜質濃度範圍為1×1020cm-3至5×1021cm-3。在一些實施例中,主層98B及修飾層98C包含雜質,但襯層98A不具有雜質。
在n型區域50N內的襯層98A之厚度決定襯層98A減少摻質自主層98B向外擴散的程度。襯層98A沿著第二奈米結構66之部分的厚度T1係小於襯層98A沿著鰭片62之部分的厚度T2。在一些實施例中,襯層98A沿著第二奈米結構66之部分的厚度T1的範圍為1nm至6nm,而襯層98A沿著鰭片62之部分的厚度T2為1nm至30nm。形成厚度T1小於1nm或厚度T2大於30nm的襯層98A可能造成磊晶源極/汲極區域98的電阻過高, 進而降低裝置效能。
圖15至圖17係繪示形成磊晶源極/汲極區域98在n型區域50N內的製程。圖15至圖17係繪示圖14A中之區域50A內的特徵。如上所述,n型區域50N內的襯層98A之半導體材料係由半導體元素及阻斷劑元素所組成,其有助於在後續製程中減少摻質自主層98B向外擴散。
在圖15中,n型區域50N內的襯層98A係磊晶成長在源極/汲極凹槽92內的半導體特徵之表面(例如鰭片62及第二奈米結構66之表面)上。襯層98A可自第二奈米結構66及鰭片62成長,其係藉由暴露第二奈米結構66及鰭片62至含半導體前驅物、含阻斷劑前驅物及(選擇性的)含摻質前驅物。當半導體元素是矽,含半導體前驅物可為例如矽烷的含矽前驅物,例如甲矽烷(SiH4)、乙矽烷(Si2H6)、丙矽烷(Si3H8)、三氯矽烷(HCl3Si)、二氯矽烷(H2SiCl2)等。當阻斷劑元素是碳,含阻斷劑前驅物可為含碳前驅物,例如三甲基矽烷((CH3)3SiH)等。當摻質是砷,含摻質前驅物可為含砷前驅物,例如砷化氫(AsH3)等。當摻質是磷,含摻質前驅物可為含磷前驅物,例如二磷烷(P2H6)、三氯化磷(PCl3)等。在一些實施例中,第二奈米結構66及鰭片62係在500℃至800℃的溫度及1Torr至760Torr的壓力下,暴露至含半導體前驅物、含阻斷劑前驅物及含摻質前驅物(若存在的話)5秒至20分鐘的持續時間。在此溫度及壓力範圍下成長襯層98A使得襯 層98A具有特定的厚度及阻斷劑元素濃度(如前述)。在此溫度及壓力範圍外成長襯層98A無法使襯層98A具有特定的厚度及阻斷劑元素濃度。
在此實施例中,在每一個源極/汲極凹槽92內成長襯層98A之部分,則在成長之後,襯層98A之部分保持分開且不會合併。特別地,襯層98A的成長係在襯層98A在源極/汲極凹槽92內合併之後停止。因此,在襯層98A之成長完成之後,內間隙壁96之側壁的部分不被襯層98A覆蓋,且保持暴露。在另一實施例中(後續以圖26至圖32C說明),襯層98A係成長至襯層98A在每一個源極/汲極凹槽92內合併,則內間隙壁96之側壁係被襯層98A覆蓋。
在圖16中,n型區域50N內的主層98B係磊晶成長在襯層98A上。主層98B可自襯層98A成長,其係藉由暴露襯層98A至含半導體前驅物及含摻質前驅物。當半導體元素是矽,含半導體前驅物可為例如矽烷的含矽前驅物,例如甲矽烷(SiH4)、乙矽烷(Si2H6)、丙矽烷(Si3H8)、三氯矽烷(HCl3Si)、二氯矽烷(H2SiCl2)等。當摻質是砷,含摻質前驅物可為含砷前驅物,例如砷化氫(AsH3)等。當摻質是磷,含摻質前驅物可為含磷前驅物,例如二磷烷(P2H6)、三氯化磷(PCl3)等。用以成長主層98B的含半導體前驅物及/或含摻質前驅物可與用以成長襯層98A者相同,或可包含不同前驅物。在一些實施例中,在400℃至800℃的溫度及1Torr至760Torr的壓力 下,襯層98A係暴露至含半導體前驅物及含摻質前驅物。
n型區域50N內的主層98B係自襯層98A成長,直至主層98B之部分在每一個源極/汲極凹槽92內合併。在此實施例中,主層98B覆蓋內間隙壁96之側壁未被襯層98A覆蓋的部分。在襯層98A覆蓋整個內間隙壁96之側壁的另一實施例(後續以圖26至圖32C說明)中,主層98B係藉由襯層98A與內間隙壁96分開。
控制源極/汲極凹槽92之寬度及襯層98A之厚度T1(如前述),則在磊晶源極/汲極區域98之相對側的襯層98A係被分開一特定距離。因此,可控制在相對襯層98A之間的主層98B的寬度W1。在一些實施例中,在相對襯層98A之間的主層98B的寬度W1之範圍為3nm至50nm。
在圖17中,n型區域50N內的修飾層98C係磊晶成長在主層98B上。修飾層98C係自主層98B成長,其係藉由暴露主層98B至含半導體前驅物及含摻質前驅物。當半導體元素是矽,含半導體前驅物可為例如矽烷的含矽前驅物,例如甲矽烷(SiH4)、乙矽烷(Si2H6)、丙矽烷(Si3H8)、三氯矽烷(HCl3Si)、二氯矽烷(H2SiCl2)等。當摻質是砷,含摻質前驅物可為含砷前驅物,例如砷化氫(AsH3)等。當摻質是磷,含摻質前驅物可為含磷前驅物,例如二磷烷(P2H6)、三氯化磷(PCl3)等。用以成長修飾層98C的含半導體前驅物及/或含摻質前驅物可與用以成長襯層98A及/或主層98B者相同,或可包含不同前驅物。 在一些實施例中,在500℃至800℃的溫度及1Torr至760Torr的壓力下,主層98B係暴露至含半導體前驅物及含摻質前驅物。
一些實施例考量其他層的磊晶源極/汲極區域98包含由阻斷劑元素所組成的半導體材料。舉例而言,主層98B的半導體材料亦可為由半導體元素及阻斷劑元素所組成的化合物半導體。在一些實施例中,主層98B的半導體材料之阻斷劑元素的濃度(例如碳濃度)之範圍為0at%至2at%。在此實施例中,如前述之含碳前驅物亦可用以成長主層98B。換言之,主層98B的半導體材料可具有零或非零的碳濃度。襯層98A具有比主層98B更大的阻斷劑元素濃度。
在磊晶源極/汲極98形成之後,襯層98A可具有各種外觀類型。襯層98A可共形地成長,則襯層98A具有共形的輪廓,如圖18A至圖18E所示,其係分別對應圖12A至圖12E。襯層98A可沿著<111>的方向成長,則襯層98A具有<111>刻面輪廓,如圖19A至圖19E所示,其係分別對應圖12A至圖12E。襯層98A的刻面類型可藉由控制各種用以成長襯層98A之前驅物的流速來控制。
在圖20A至圖20C中,第一層間介電質104係沉積在磊晶源極/汲極區域98、閘極間隙壁88及罩幕86(若存在的話)或虛擬閘極84上。第一層間介電質104可由介電材料所形成,其係藉由任何合適的方法來沉積, 例如化學氣相沉積、電漿輔助化學氣相沉積、流動式化學氣相沉積等。合適的介電材料可包含磷矽玻璃(phospho-silicate glass,PSG)、硼矽玻璃(boro-silicate glass,BSG)、硼摻雜磷矽玻璃(boron-doped phospho-silicate glass,BPSG)、未摻雜矽玻璃(undoped silicate glass,USG)等。可使用藉由任何合適的製程所形成的其他絕緣材料。
在一些實施例中,接觸蝕刻中止層(contact etch stop layer,CESL)102係形成在第一層間介電質104及磊晶源極/汲極區域98、閘極間隙壁88及罩幕86(若存在的話)或虛擬閘極84之間。接觸蝕刻中止層102係由介電材料所組成,例如氮化矽、氧化矽、氮氧化矽等,其係相對於蝕刻第一層間介電質104,具有高蝕刻選擇性。接觸蝕刻中止層102係藉由任何合適的方法所形成,例如化學氣相沉積、原子層沉積等。
在圖21A至圖21C中,進行移除製程,以使第一層間介電質104之頂表面與閘極間隙壁88及罩幕86(若存在的話)或虛擬閘極84之頂表面齊平。在一些實施例中,可利用平坦化製程,例如化學機械研磨、回蝕製程、前述之組合等。平坦化製程亦可移除在虛擬閘極84上的罩幕86及沿著罩幕86之側壁的閘極間隙壁88之部分。在平坦化製程之後,閘極間隙壁88、第一層間介電質104、接觸蝕刻中止層102及罩幕86(若存在的話)或虛擬閘極84之頂表面係共平面(在製程變化中)。因此,罩幕86(若存 在的話)或虛擬閘極84之頂表面係穿過第一層間介電質104而被暴露。在繪示的實施例中,罩幕86保留,且平坦化製程使第一層間介電質104之頂表面與罩幕86之頂表面齊平。
在圖22A至圖22C中,罩幕86(若存在的話)或虛擬閘極84係在蝕刻製程中被移除,故形成凹槽106。在凹槽106內的虛擬介電質82之部分亦被移除。在一些實施例中,虛擬閘極84係藉由異向性乾式蝕刻製程來移除。舉例而言,蝕刻製程可包含利用反應性氣體的乾式蝕刻,其相對於第一層間介電質104或閘極間隙壁88,選擇性地以較快的速率蝕刻虛擬閘極84。在移除過程中,當虛擬閘極84被蝕刻時,虛擬介電質82可用做蝕刻中止層。然後,移除虛擬介電質82。每一個凹槽106暴露及/或覆蓋通道區域68之部分。做為通道區域68的第二奈米結構66之部分係設置在相鄰多對磊晶源極/汲極區域98之間並鄰接相鄰的多對磊晶源極/汲極區域98。
接著,移除第一奈米結構64之剩餘部分,以擴張凹槽106,以形成開口108在第二奈米結構66之間。第一奈米結構64之剩餘部分可藉由任何合適的蝕刻製程來移除,其相對於第二奈米結構66的材料,選擇性地以較快的速率蝕刻第一奈米結構64的材料。蝕刻可為等向性。舉例而言,當第一奈米結構64係由矽鍺所組成,而第二奈米結構66係由矽所組成時,蝕刻製程可為利用四甲基氫氧化銨(TMAH)、氫氧化銨(NH4OH)等的濕式蝕刻。在一些 實施例中,進行修整製程(未分別繪示),以減少第二奈米結構66之暴露部分的厚度。
在圖23A至圖23C中,閘極介電質122及閘極電極124係形成為取代閘極。各別每一對閘極介電質122及閘極電極124可共同地當作「閘極結構」。每一個閘極結構沿著第二奈米結構66之通道區域68的側壁及頂表面延伸。一些閘極結構亦沿著鰭片62之側壁及/或頂表面延伸。閘極介電質122包含設置在第二奈米結構66周圍及在閘極間隙壁88及內間隙壁96之側壁上的一或多個閘極介電層。閘極介電質122可由氧化物(例如氧化矽或金屬氧化物)、矽酸鹽(例如金屬矽酸鹽)、其組合、其多層等所組成。除此之外或取而代之地,閘極介電質122可由高k介電材料(例如具有k值大於約7.0的介電材料)所形成,例如金屬氧化物或鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛的矽酸鹽及其組合。閘極介電質122的介電材料可藉由分子束沉積(molecular-beam deposition,MBD)、原子層沉積、電漿輔助化學氣相沉積等來形成。雖然繪示單層閘極介電質122,閘極介電質122可包含任何數目的界面層及任何數目的主層。舉例而言,閘極介電質122可包含界面層及上方的高k介電層。
閘極電極124包含設置在閘極介電質122上的一或多個閘極電極層。閘極電極124可由含金屬材料所組成,例如氮化鈦、氧化鈦、氮化鉭、碳化鉭、鎢、鈷、釕、鋁、其組合、其多層等。雖然繪示單層閘極電極124,閘極電 極124可包含任何數目的功函數調整層、任何數目的阻障層、任何數目的黏著層及填充材料。
以形成閘極結構的具體例而言,一或多個閘極介電層可沉積在凹槽106及開口108。閘極介電層亦可沉積在第一層間介電質104、接觸蝕刻中止層102及閘極間隙壁88之頂表面上。接著,一或多個閘極電極層可沉積在閘極介電層上及凹槽106與開口108之剩餘部分內。然後,可進行移除製程,以移除閘極介電層及閘極電極層之多餘部分,其多餘部分係在第一層間介電質104、接觸蝕刻中止層102及閘極間隙壁88之頂表面上。在移除製程之後,閘極介電層具有部分留在凹槽106及開口108內(因此形成閘極介電質122)。在移除製程之後,閘極電極層具有部分留在凹槽106及開口108內(因此形成閘極電極124)。在一些實施例中,可利用平坦化製程,例如化學機械研磨、回蝕製程、前述之組合等。在平坦化製程之後,閘極間隙壁88、接觸蝕刻中止層102、第一層間介電質104、閘極介電質122及閘極電極124係共平面(在製程變化中)。
在n型區域50N及p型區域50P內之閘極介電質122的形成可同時發生,以使在每一個區域內的閘極介電質122係由相同材料所形成,且閘極電極124的形成可同時發生,以使在每一個區域內的閘極電極124係由相同材料所形成。在一些實施例中,在每一個區域內的閘極介電質122可由不同的製程所形成,以使閘極介電質122可包含不同的材料及/或具有不同的層數,及/或在每一個區 域內的閘極電極124可由不同的製程所形成,以使閘極電極124可包含不同的材料及/或具有不同的層數。當使用不同的製程時,可利用各種遮蔽步驟,以遮蔽及暴露適當的區域。
在圖24A至圖24C中,第二層間介電質134係形成在閘極間隙壁88、接觸蝕刻中止層102、第一層間介電質104、閘極介電質122及閘極電極124上。在一些實施例中,第二層間介電質134係藉由流動式化學氣相沉積法所形成的流動式薄膜。在一些實施例中,第二層間介電質134係由介電材料所組成,例如磷矽玻璃、硼矽玻璃、硼摻雜磷矽玻璃、未摻雜矽玻璃等,其可藉由任何合適的方法來沉積,例如化學氣相沉積、電漿輔助化學氣相沉積等。
在一些實施例中,蝕刻停止層(etch stop layer,ESL)132係形成在第二層間介電質134及閘極間隙壁88、接觸蝕刻中止層102、第一層間介電質104、閘極介電質122與閘極電極124之間。蝕刻停止層132可包含相對於蝕刻第二層間介電質132,具有高蝕刻選擇性的介電材料,例如氮化矽、氧化矽、氮氧化矽等。
在圖25A至圖25C中,閘極接點142及源極/汲極接點144係形成為分別接觸閘極電極124及磊晶源極/汲極區域98。閘極接點142係實體及電性連接至閘極電極124。源極/汲極接點144係實體及電性連接至磊晶源極/汲極區域98。
以形成閘極接點142及源極/汲極接點144的具體例而言,閘極接點142的開口係形成為穿過第二層間介電質134及蝕刻停止層132,而源極/汲極接點144的開口係形成為穿過第二層間介電質134、蝕刻停止層132、第一層間介電質104及接觸蝕刻中止層102。開口可利用合適的光微影及蝕刻技術來形成。襯墊(例如擴散阻障層、黏結層等)(未分別繪示)及導電材料係形成在開口內。襯墊可包含鈦、氮化鈦、鉭、氮化鉭等。導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳等。可進行平坦化製程(例如化學機械研磨),以自第二層間介電質134之表面移除多餘材料。剩餘的襯墊及導電材料形成閘極接點142及源極/汲極接點144在開口內。閘極接點142及源極/汲極接點144可在不同的製程內形成,或可在相同的製程內形成。雖然係繪示為形成在相同剖面中,應理解的是,閘極接點142及源極/汲極接點144之每一者可形成在不同的剖面中,其可避免接點的短路。
選擇性地,金屬-半導體合金區域146係形成在磊晶源極/汲極區域98及源極/汲極接點144之間的界面。金屬-半導體合金區域146可為由金屬矽化物(例如矽化鈦、矽化鈷、矽化鎳等)所形成的矽化物區域、由金屬鍺化物(例如鍺化鈦、鍺化鈷、鍺化鎳等)所形成的鍺化物區域、由金屬矽化物及金屬鍺化物二者所形成的矽鍺區域等。金屬-半導體合金區域146可在源極/汲極接點144之前藉由沉積金屬在源極/汲極接點144的開口內,然後進行熱退火製程 而形成。在一些實施例中,金屬與磊晶源極/汲極區域98的修飾層98C反應,以使金屬-半導體合金區域146延伸穿過修飾層98C。金屬可為能夠與磊晶源極/汲極區域98之半導體材料(例如矽、碳化矽、矽鍺、鍺等)反應的任何金屬,以形成低電阻金屬-半導體合金,例如鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬(noble metals)、其他耐火金屬(refractory metals)、稀土金屬(rare earth metals)或其合金。金屬可藉由沉積製程而沉積,例如原子層沉積、化學氣相沉積、物理氣相沉積等。在熱退火製程之後,可進行清洗製程(例如濕式清洗),以自源極/汲極接點144的開口移除任何殘餘金屬,例如自金屬-半導體合金區域146的表面。然後,可形成源極/汲極接點144的材料在金屬-半導體合金區域146上。
控制源極/汲極接點144的寬度,以使源極/汲極接點144的寬度W2小於(前述)在相對襯層98A之間的主層98B之寬度W1。因此,源極/汲極接點144係形成以接觸磊晶源極/汲極區域98的主層98B,而不形成以接觸磊晶源極/汲極區域98的襯層98A。換言之,源極/汲極接點144係與襯層98A分開。因此,磊晶源極/汲極區域98的接觸電阻可減少,進而增加裝置效能。在一些實施例中,源極/汲極接點144的寬度W2範圍為3nm至50nm。
接著,藉由在上方內連接結構中的金屬化層,奈米結構場效電晶體裝置可被內連接以形成積體電路。上方內 連接結構可在後段(back end of line,BEOL)製程中形成,其中金屬化層係連接至閘極接點142及源極/汲極接點144。在一些裝置類型中,例如記憶體裝置,連至裝置之源極區域的源極/汲極接點144可接地。額外的特徵可在後段製程時與內連接結構整合,例如被動裝置、記憶體[例如磁阻式隨機存取記憶體(magnetoresistive random-access memory,MRAM)、可變電阻式記憶體(resistive random access memory,RRAM)、相變化記憶體(phase-change random access memory,PCRAM)等]。
圖26係根據另一些實施例之奈米結構場效電晶體的視圖。此實施例係相似於參照圖25A所述,除了襯層98A係成長至在每一個源極/汲極凹槽92內合併(參照圖28),以使內間隙壁96的側壁被襯層98A所覆蓋。如此,襯層98A係沿著第二奈米結構66及內間隙壁96之側壁連續地延伸,且主層98B係藉由襯層98A而與內間隙壁96分開。
沿著內間隙壁96的襯層98A之部分的厚度T3係小於沿著第二奈米結構66的襯層98A之部分的厚度T1及沿著鰭片62的襯層98A之部分的厚度T2。在一些實施例中,沿著內間隙壁96的襯層98A之部分的厚度T3為0nm至8nm。形成之厚度T3大於8nm的襯層98A會造成磊晶源極/汲極區域98的電阻過高,進而降低裝置效能。
圖27至圖31係根據另一些實施例之製造奈米結構場效電晶體之中間階段的視圖。特別地,圖27至圖31係繪示用來形成磊晶源極/汲極區域98在n型區域50N中的另一製程。圖27至圖31係繪示在圖14A中之區域50A內的特徵。如上所述,在n型區域50N中的襯層98A之半導體材料係由半導體元素及阻斷劑元素所組成,其有助於在後續製程中減少摻質自主層98B向外擴散。
在圖27至圖28中,在n型區域50N中的襯層98A係磊晶成長在源極/汲極凹槽92內之半導體特徵的表面(例如鰭片62及第二奈米結構的表面)上。襯層98A可藉由與參照圖15所述之相似製程來成長,除了磊晶成長製程可進行較長時間。在一些實施例中,第二奈米結構66及鰭片62係暴露至含半導體前驅物、含阻斷劑前驅物及含摻質前驅物(若存在的話)5秒至20分鐘的持續時間。如此,襯層98A係先成長在源極/汲極凹槽92中的鰭片62及第二奈米結構66(如圖27所示)上,但成長係接著繼續至在每一個源極/汲極凹槽92內的襯層98A之部分合併(如圖28所示)。持續長時間進行磊晶成長製程使得在每一個源極/汲極凹槽92內的襯層98A之部分合併,但亦使得襯層98A被形成為具有大的厚度。
在圖29中,在n型區域50N中的襯層98A係被薄化以減少其厚度。襯層98A可藉由乾式蝕刻而被薄化,其係利用含氯蝕刻劑,例如氯化氫(HCl)氣體、氯氣(Cl2)等。蝕刻可為異向性。在襯層98A被薄化之後,其具有厚 度T1、厚度T2及厚度T3(如前述)。
在圖30中,在n型區域50N中的主層98B係磊晶成長在襯層98A上。主層98B可藉由參照圖16所述之相似製程來成長。
在圖31中,在n型區域50N中的修飾層98C係磊晶成長在主層98B上。修飾層98C可藉由參照圖17所述之相似製程來成長。
圖32A至圖32C係根據另一些實施例之奈米結構場效電晶體的視圖。此實施例係相似於參照圖25A至圖25C所述,除了間隙壁層94係被圖案化(參照圖11A至圖11C),以使底部間隙壁152亦形成在源極/汲極凹槽92之底部(參照圖11A至圖11C)。如此,底部間隙壁152使磊晶源極/汲極區域98之底表面與鰭片62分開。形成沿著磊晶源極/汲極區域98之底表面的底部間隙壁152有助於減少自磊晶源極/汲極區域98至基材50的漏電。在一些實施例中,沿著磊晶源極/汲極區域98之底表面的底部間隙壁152之厚度T4為1nm至30nm。形成厚度T4小於1nm之沿著磊晶源極/汲極區域98之底表面的底部間隙壁152不足以減少自磊晶源極/汲極區域98的漏電。形成厚度T4大於30nm之沿著磊晶源極/汲極區域98之底表面的底部間隙壁152會造成磊晶源極/汲極區域98的電阻過高,進而降低裝置效能。
圖33係根據另一些實施例之奈米結構場效電晶體的視圖。此實施例係相似於參照圖26所述,除了間隙壁層 94被圖案化(參照圖11A至圖11C),以使底部間隙壁152亦形成在源極/汲極凹槽92之底部(參照圖11A至圖11C),以參照圖32A至圖32C所述之相似方式。
圖34A至圖34C係根據另一些實施例之奈米結構場效電晶體的視圖。此實施例係相似於參照圖25A至圖25C所述,除了在鰭片62上的襯層98A係形成為具有比其他襯層98A更大的厚度。特別地,自鰭片62成長的襯層98AF比自第二奈米結構66成長之襯層98AN更厚。成長在鰭片62上的襯層98AF為具有更大的厚度有助於減少自磊晶源極/汲極區域98至基材50的漏電。成長襯層98AF為使襯層98AF之頂表面設置在鰭片62之頂表面上及在第二奈米結構66之底表面之下。在一些實施例中,在襯層98AF之頂表面與第二奈米結構66之底表面之間的距離D1係小於3nm。形成使距離D1大於3nm的襯層98AF會造成磊晶源極/汲極區域98的電阻過高,進而降低裝置效能。
藉由以具有大的由下而上之成長速率的磊晶成長製程成長襯層98A,襯層98AF可成長為具有比襯層98AN更大的厚度。在一些實施例中,由下而上的磊晶成長係藉由在襯層98A的成長過程(參照圖15所述)中使用含氯前驅物來進行。含氯前驅物可為氯氣、氯化氫等。除此之外或取而代之地,由下而上的磊晶成長係藉由在高溫下成長襯層98A,例如在600℃至800℃的溫度範圍內。磊晶成長係進行至襯層98AF之頂表面被設置為在第二奈米結構 66之底表面下的特定距離D1
實施例可達成優點。形成在n型區域50N中之襯層98A的半導體材料由阻斷劑元素所組成,其有助於在後續製程中減少摻質自主層98B向外擴散至通道區域68。在n型摻質為砷的實驗中,以通道區域68受到0%至2%的拉伸應變而言,第二奈米結構66之側壁外8nm處的摻質濃度係減少高達66%。在n型摻質為磷的另一實驗中,第二奈米結構66之側壁下方8nm的摻質濃度係減少高達80%。在一些實施例中,通道區域68中的摻質濃度係低至5×1017cm-3。藉由減少摻質擴散至通道區域68,可增加通道遷移率。因此可增加裝置效能。
在一實施例中,一種半導體裝置包含:第一奈米結構;鄰接第一奈米結構之第一通道區域的源極/汲極區域,源極/汲極區域包含:主層及在主層及第一奈米結構之間的第一襯層,第一襯層之碳濃度大於主層之碳濃度;在源極/汲極區域上的層間介電質;以及延伸穿過層間介電質的接點,接點連接至主層,且接點係與第一襯層分開。在一些實施例中,裝置更包含:第二奈米結構,源極/汲極區域鄰接第二奈米結構之第二通道區域,其中源極/汲極區域更包含:在主層及第二奈米結構之間的第二襯層,主層具有第一寬度,其係介於第一襯層及第二襯層之間,接點具有第二寬度,且第二寬度小於第一寬度。在一些實施例中,源極/汲極區域更包含:在主層上的修飾層,第一襯層之碳濃度大於修飾層之碳濃度。在一些實施例中,第一襯層之碳 濃度為0.1at%至2at%,且主層之碳濃度為0。在一些實施例中,第一襯層之碳濃度為0.1at%至2at%,且主層之碳濃度為0at%至2at%。在一些實施例中,裝置更包含:第二奈米結構,源極/汲極區域鄰接第二奈米結構之第二通道區域,第一襯層在主層及第二奈米結構之間;環繞第一奈米結構之第一通道區域,並環繞第二奈米結構之第二通道區域的閘極結構;在閘極結構及源極/汲極區域之間的間隙壁,第一襯層在主層及間隙壁之間。在一些實施例中,裝置更包含:第二奈米結構,源極/汲極區域鄰接第二奈米結構之第二通道區域;環繞第一奈米結構之第一通道區域,並環繞第二奈米結構之第二通道區域的閘極結構;在閘極結構及源極/汲極區域之間的間隙壁,主層接觸間隙壁,其中源極/汲極區域更包含:在主層及第二奈米結構之間的第二襯層,第二襯層與第一襯層分開。
在一實施例中,一種半導體裝置包含:奈米結構;鄰接奈米結構之通道區域的源極/汲極區域,源極/汲極區域包含:在奈米結構之側壁上的第一磊晶層,第一磊晶層包括含碳半導體材料及n型摻質;在第一磊晶層上的第二磊晶層,第二磊晶層包括無碳半導體材料及n型摻質;及在第二磊晶層上的第三磊晶層,第三磊晶層包括無碳半導體材料及n型摻質。在一些實施例中,裝置更包含:在源極/汲極區域上的層間介電質;以及延伸穿過層間介電質,以接觸源極/汲極區域之第二磊晶層的接點,接點與源極/汲極區域之第一磊晶層分開。在此裝置的一些實施例中, 含碳半導體材料為碳化矽,無碳半導體材料為矽,且n型摻質為磷或砷。在一些實施例中,裝置更包含:自基材延伸的鰭片,其中源極/汲極區域的第一磊晶層在鰭片之頂表面上。在一些實施例中,裝置更包含:自基材延伸的鰭片;以及在源極/汲極區域的鰭片及第一磊晶層之間的間隙壁。
在一實施例中,一種方法包含:蝕刻在奈米結構內的源極/汲極凹槽,奈米結構之側壁暴露至源極/汲極凹槽;藉由暴露奈米結構之側壁至含半導體前驅物、含阻斷劑前驅物及含摻質前驅物,以成長第一磊晶層在源極/汲極凹槽內;藉由暴露第一磊晶層至含半導體前驅物及含摻質前驅物,以成長第二磊晶層在源極/汲極凹槽內,當成長第二磊晶層時,該第一磊晶層不暴露至含阻斷劑前驅物;沉積介電層在第二磊晶層上;以及形成接點穿過介電層,其中接點連接至第二磊晶層,且接點與第一磊晶層分開。在此方法的一些實施例中,含半導體前驅物為矽烷,且含阻斷劑前驅物為三甲基矽烷。在此方法的一些實施例中,奈米結構之側壁是在600℃至700℃之溫度及1Torr至760Torr之壓力下暴露至含半導體前驅物、含阻斷劑前驅物及含摻質前驅物。在此方法的一些實施例中,第一磊晶層是在400℃至800℃之溫度及1Torr至760Torr之壓力下暴露至含半導體前驅物及含摻質前驅物。在此方法的一些實施例中,在蝕刻源極/汲極凹槽之後,奈米結構是在拉伸應變下,且含摻質前驅物為含砷前驅物或含磷前驅物。 在此方法的一些實施例中,當成長第一磊晶層時,奈米結構之側壁亦暴露至含氯前驅物。在此方法的一些實施例中,奈米結構係設置在間隙壁上,間隙壁之側壁暴露至源極/汲極凹槽,且第一磊晶層的成長係在第一磊晶層覆蓋間隙壁之側壁之前停止。在此方法的一些實施例中,奈米結構係設置在間隙壁上,間隙壁之側壁暴露至源極/汲極凹槽,且第一磊晶層的成長係進行到第一磊晶層覆蓋間隙壁之側壁。
以上概述許多實施例的特徵,因此本領域具有通常知識者可更了解本揭露的態樣。本技術領域具有通常知識者應理解利用本揭露為基礎可以設計或修飾其他製程和結構以實現和所述實施例相同的目的及/或達成相同優點。本技術領域具有通常知識者也應了解與此均等的架構並沒有偏離本揭露的精神和範圍,且在不偏離本揭露的精神和範圍下可做出各種變化、替代和改動。
50:基材
50N:n型區域
50P:p型區域
62:鰭片
66:奈米結構
68:通道區域
88:閘極間隙壁
96:內間隙壁
98:磊晶源極/汲極區域
98A:襯層
98B:主層
98C:修飾層
102:接觸蝕刻中止層
104:層間介電質
122:閘極介電質
124:閘極電極
132:蝕刻停止層
134:層間介電質
142:閘極接點
144:源極/汲極接點
146:金屬-半導體合金區域

Claims (10)

  1. 一種半導體裝置,包含:一第一奈米結構;一源極/汲極區域,鄰接該第一奈米結構的一第一通道區域,其中該源極/汲極區域包含:一主層;一第一襯層,在該主層及該第一奈米結構之間,其中該第一襯層之碳濃度大於該主層之碳濃度;以及一修飾層,在該主層上,其中該第一襯層之碳濃度大於該修飾層之碳濃度;一層間介電質,在該源極/汲極區域上;以及一接點,延伸穿過該層間介電質,其中該接點連接至該主層,且該接點與該第一襯層分開。
  2. 如請求項1所述之半導體裝置,更包含:一第二奈米結構,其中該源極/汲極區域鄰接該第二奈米結構之一第二通道區域,且該源極/汲極區域更包含:一第二襯層,在該主層及該第二奈米結構之間,其中該主層之一第一寬度介於該第一襯層及該第二襯層之間,該接點具有一第二寬度,且該第二寬度小於該第一寬度。
  3. 如請求項1所述之半導體裝置,其中該第一襯層之碳濃度為0.1at%至2at%,且該主層之碳濃度為 0at%至2at%。
  4. 如請求項1所述之半導體裝置,更包含:一第二奈米結構,其中該源極/汲極區域鄰接該第二奈米結構之一第二通道區域,且該第一襯層在該主層及該第二奈米結構之間;一閘極結構,環繞該第一奈米結構之該第一通道區域,並環繞該第二奈米結構之該第二通道區域;以及一間隙壁,在該閘極結構及該源極/汲極區域之間,其中該第一襯層在該主層及該間隙壁之間。
  5. 一種半導體裝置,包含:一第一奈米結構,包含一通道區域;一第二奈米結構,與該第一奈米結構交錯堆疊,其中該通道區域凸出於該第二奈米結構;以及一源極/汲極區域,鄰接該奈米結構的該通道區域,其中該源極/汲極區域包含:一第一磊晶層,共形地在該第一奈米結構之該通道區域的一側壁上,其中該第一磊晶層包含一含碳半導體材料及n型摻質;一第二磊晶層,在該第一磊晶層上,其中該第二磊晶層包含一無碳半導體材料及該n型摻質;以及一第三磊晶層,在該第二磊晶層上,其中該第三磊晶層包含該無碳半導體材料及該n型摻質。
  6. 如請求項5所述之半導體裝置,更包含:一層間介電質,在該源極/汲極區域上;以及一接點,延伸穿過該層間介電質,以接觸該源極/汲極區域之該第二磊晶層,其中該接點與該源極/汲極區域之該第一磊晶層分開。
  7. 如請求項5所述之半導體裝置,更包含:一鰭片,自一基材延伸,其中該源極/汲極區域的該第一磊晶層在該鰭片之一頂表面上。
  8. 一種半導體裝置的製造方法,包含:蝕刻在一奈米結構內的一源極/汲極凹槽,其中該奈米結構之一側壁暴露至該源極/汲極凹槽;藉由暴露該奈米結構之該側壁至一含半導體前驅物、一含阻斷劑前驅物及一含摻質前驅物,以成長一第一磊晶層在該源極/汲極凹槽內;藉由暴露該第一磊晶層至該含半導體前驅物及該含摻質前驅物,以成長一第二磊晶層在該源極/汲極凹槽內,其中當成長該第二磊晶層時,該第一磊晶層不暴露至該含阻斷劑前驅物;沉積一介電層在該第二磊晶層上;以及形成一接點穿過該介電層,其中該接點連接至該第二磊晶層,且該接點與該第一磊晶層分開。
  9. 如請求項8所述的方法,其中該奈米結構係設置在一間隙壁上,該間隙壁之一側壁暴露至該源極/汲極凹槽,且該第一磊晶層的成長在該第一磊晶層覆蓋該間隙壁之該側壁之前停止。
  10. 如請求項8所述的方法,其中該奈米結構係設置在一間隙壁上,該間隙壁之一側壁暴露至該源極/汲極凹槽,且該第一磊晶層的成長進行到該第一磊晶層覆蓋該間隙壁之一側壁。
TW111106858A 2021-05-13 2022-02-24 半導體裝置及其製造方法 TWI839692B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163188134P 2021-05-13 2021-05-13
US63/188,134 2021-05-13
US17/565,716 US20220367625A1 (en) 2021-05-13 2021-12-30 Transistor Source/Drain Regions and Methods of Forming the Same
US17/565,716 2021-12-30

Publications (2)

Publication Number Publication Date
TW202245255A TW202245255A (zh) 2022-11-16
TWI839692B true TWI839692B (zh) 2024-04-21

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202117819A (zh) 2019-10-24 2021-05-01 台灣積體電路製造股份有限公司 半導體裝置的形成方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202117819A (zh) 2019-10-24 2021-05-01 台灣積體電路製造股份有限公司 半導體裝置的形成方法

Similar Documents

Publication Publication Date Title
TWI828806B (zh) 半導體裝置與其形成方法
TW202109884A (zh) 半導體裝置
TWI725588B (zh) 半導體裝置的形成方法及半導體裝置
TW202125597A (zh) 半導體裝置及其形成方法
TWI828962B (zh) 半導體裝置及其形成方法
TWI792061B (zh) 半導體裝置及其形成方法
TW202133327A (zh) 半導體裝置
TWI796972B (zh) 半導體裝置與其形成之方法
US20220367625A1 (en) Transistor Source/Drain Regions and Methods of Forming the Same
US11935754B2 (en) Transistor gate structure and method of forming
TW202339007A (zh) 半導體裝置及其形成方法
TWI839692B (zh) 半導體裝置及其製造方法
TW202243014A (zh) 奈米結構場效電晶體
TW202118067A (zh) 半導體裝置
CN113113408A (zh) 半导体装置
TW202101599A (zh) 半導體裝置之形成方法
TWI836346B (zh) 半導體裝置和其形成方法
US20230317785A1 (en) Source/Drain Regions of Semiconductor Device and Methods of Forming the Same
US11557518B2 (en) Gapfill structure and manufacturing methods thereof
TWI751763B (zh) 半導體裝置及其形成方法
TW202410163A (zh) 奈米結構場效電晶體及其製造方法
TW202322399A (zh) 半導體裝置及其製造方法
CN116454113A (zh) 晶体管源极/漏极区域及其形成方法
TW202310013A (zh) 形成半導體裝置的方法