TW202129840A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW202129840A
TW202129840A TW110102259A TW110102259A TW202129840A TW 202129840 A TW202129840 A TW 202129840A TW 110102259 A TW110102259 A TW 110102259A TW 110102259 A TW110102259 A TW 110102259A TW 202129840 A TW202129840 A TW 202129840A
Authority
TW
Taiwan
Prior art keywords
inner spacer
spacer layer
layer
semiconductor
source
Prior art date
Application number
TW110102259A
Other languages
English (en)
Other versions
TWI828962B (zh
Inventor
林文凱
張哲豪
志安 徐
盧永誠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202129840A publication Critical patent/TW202129840A/zh
Application granted granted Critical
Publication of TWI828962B publication Critical patent/TWI828962B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

揭示用於半導體裝置之改良的內間隔物及其形成方法。在一實施例中,半導體裝置包含基底;在基底上方的多個半導體通道結構;在半導體通道結構上方的閘極結構,閘極結構在相鄰的半導體通道結構之間延伸;鄰近閘極結構的源極/汲極區,源極/汲極區接觸半導體通道結構;以及插入源極/汲極區和閘極結構之間的內間隔物,內間隔物包含接觸閘極結構和源極/汲極區的第一內間隔層,第一內間隔層包含矽和氮;以及接觸第一內間隔層和源極/汲極區的第二內間隔層,第二內間隔層包含矽、氧和氮,第二內間隔層的介電常數低於第一內間隔層的介電常數。

Description

半導體裝置及其形成方法
本發明實施例是關於半導體製造技術,特別是關於半導體裝置及其形成方法。
半導體裝置用於各種電子應用中,舉例來說,例如個人電腦、手機、數位相機和其他電子設備。半導體裝置的製造通常藉由在半導體基底上方依序沉積絕緣層或介電層、導電層和半導體層的材料,並使用微影將這些不同材料層圖案化,以在半導體基底上形成電路組件和元件。
半導體產業藉由不斷縮減最小部件尺寸來持續提升各種電子組件(例如電晶體、二極體、電阻器、電容器等)的積體密度,這允許將更多組件整合至給定區域中。
根據一些實施例提供半導體裝置。此半導體裝置包含基底;在基底上方的多個半導體通道結構;在多個半導體通道結構上方的閘極結構,其中閘極結構在多個半導體通道結構中的相鄰半導體通道結構之間延伸;鄰近閘極結構的源極/汲極區,源極/汲極區接觸多個半導體通道結構;以及插入源極/汲極區和閘極結構之間的內間隔物,內間隔物包含接觸閘極結構和源極/汲極區的第一內間隔層,第一內間隔層包含矽和氮;以及接觸第一內間隔層和源極/汲極區的第二內間隔層,第二內間隔層包含矽、氧和氮,第二內間隔層的介電常數低於第一內間隔層的介電常數。
根據另一些實施例提供半導體裝置。此半導體裝置包含半導體基底;在半導體基底上方的多個通道區;在多個通道區上方的閘極結構,閘極結構在多個通道區中的相鄰通道區之間延伸;沿著閘極結構的側壁的上間隔物;鄰近閘極結構的源極/汲極區;以及多個內間隔物,每個內間隔物插入多個通道區中的相鄰通道區之間,每個內間隔物插入源極/汲極區和閘極結構之間,每個內間隔物包含接觸閘極結構和源極/汲極區的第一內間隔層,第一內間隔層包含矽和氮;接觸第一內間隔層和源極/汲極區的第二內間隔層,第二內間隔層包含矽、氧和氮,第二內間隔層的介電常數低於第一內間隔層的介電常數;以及接觸第二內間隔層和源極/汲極區的第三內間隔層,第三內間隔層包含矽和氮,鄰近源極/汲極區之內間隔層的側壁在剖面示意圖中為W形。
根據又另一些實施例提供半導體裝置的形成方法。此方法包含在半導體基底上方形成多層堆疊,多層堆疊包含第一半導體材料和與第一半導體材料不同的第二半導體材料之交替層;蝕刻第一半導體材料的側壁以形成側壁凹槽;在多層堆疊上方和側壁凹槽中沉積第一內間隔層;在第一內間隔層上方沉積第二內間隔層;沉積第三內間隔層以填充側壁凹槽;進行第一蝕刻製程以蝕刻第一內間隔層、第二內間隔層和第三內間隔層,並在側壁凹槽中形成內間隔物,內間隔物包含第一內間隔層、第二內間隔層和第三內間隔層的剩餘部分,第一蝕刻製程蝕刻第二內間隔層的蝕刻速率大於第三內間隔層的蝕刻速率;進行第二蝕刻製程以移除第一半導體材料並形成在內間隔物之間延伸的第一凹槽,第二蝕刻製程蝕刻第一內間隔層的蝕刻速率小於第一半導體材料的蝕刻速率;以及在第一凹槽中形成閘極結構。
以下內容提供許多不同實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用於限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件上或上方,可能包含形成第一部件和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一部件和第二部件之間,使得第一部件和第二部件不直接接觸的實施例。另外,本發明實施例在不同範例中可重複使用參考標號及/或字母。此重複是為了簡化和清楚之目的,並非代表所討論的不同實施例及/或組態之間有特定的關係。
此外,本文可能使用空間相對用語,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」及類似的用詞,這些空間相對用語係為了便於描述如圖所示之一個(些)元件或部件與另一個(些)元件或部件之間的關係。這些空間相對用語包含使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則在此所使用的空間相對形容詞也將依轉向後的方位來解釋。
各個實施例提供了在半導體裝置中形成改良的內間隔物的方法以及藉由此方法形成的半導體裝置。內間隔物可用於隔離閘極堆疊與源極/汲極區。可以藉由沉積具有不同成分的多個介電層來形成內間隔物。舉例來說,在一些實施例中,內間隔物可以由具有不同蝕刻選擇比和介電常數(k值)的三個介電層形成。內間隔物的形成可以藉由沉積具有高蝕刻選擇比的第一介電層,然後在第一介電層上方沉積具有低介電常數值的第二介電層,然後在第二介電層上方沉積具有低介電常數值的第三介電層。在一些實施例中,第一介電層可以包含氮碳化矽(SiCN),第二介電層和第三介電層可以包含氮碳氧化矽(SiOCN)。在內間隔物中包含具有高蝕刻選擇比的第一介電層會提升內間隔物的抗蝕刻性,並改善內間隔物的輪廓。在內間隔物中包含第二介電層和第三介電層會降低包含內間隔物的半導體裝置的有效電容(effective capacitance,Ceff ),並產生改善的裝置效能。
第1圖繪示根據一些實施例之奈米結構(例如奈米片、奈米線、全繞式閘極等)場效電晶體(NSFET)的範例。奈米結構場效電晶體包含基底50(例如半導體基底)上方的奈米結構55。奈米結構55包含第二半導體層54A~54C,其作為奈米結構55的通道區。淺溝槽隔離(Shallow trench isolation,STI)區58設置在基底50中,並且奈米結構55設置在相鄰的淺溝槽隔離區58上方和之間。雖然將淺溝槽隔離區58描述/繪示為與基底50隔開,但如本文所用,用語「基底」可以單指半導體基底或半導體基底和淺溝槽隔離區的組合。
閘極介電層100沿著奈米結構55的頂表面、側壁和底表面,例如在第二半導體層54A~54C中的每一個的頂表面、側壁和底表面上,以及沿著基底50的一部分之側壁和頂表面。閘極電極102在閘極介電層100上方。磊晶源極/汲極區92設置在奈米結構55、閘極介電層100和閘極電極102的兩側。第1圖進一步繪示後圖使用的參考剖面。剖面A-A’沿著閘極電極102的縱軸並在例如垂直於奈米結構場效電晶體的磊晶源極/汲極區92之間的電流流動方向的方向上。剖面B-B’垂直於剖面A-A’,並且沿著奈米結構55的縱軸並在例如奈米結構場效電晶體的磊晶源極/汲極區92之間的電流流動的方向上。剖面C-C’平行於剖面A-A’,並延伸穿過奈米結構場效電晶體的磊晶源極/汲極區92。為了清楚起見,後續圖式參照這些參考剖面。
在此討論的一些實施例是在使用閘極後製(gate-last)製程形成的奈米結構場效電晶體的背景下討論的。在其他實施例中,可以使用閘極先製(gate-first)製程。此外,一些實施例考慮了使用鰭式場效電晶體(fin field effect transistors,FinFETs)或例如平面場效電晶體之平面裝置的面向。
第2至20C圖是根據一些實施例之製造奈米結構場效電晶體的中間階段的剖面示意圖。第2至5、6A、7A、8A、9A、10A、11A、12A、13A、14A、15A、16A、17A、18A、18D、19A和20A圖繪示第1圖所示之參考剖面A-A’。第6B、7B、8B、9B、10B、11B、12B、12D、13B、14B、15B、16B、17B、18B、18C、19B和20B圖繪示第1圖所示之參考剖面B-B’。第6C、7C、8C、9C、10C、11C、12C、13C、13D、14C、15C、16C、17C、18C、19C和20C圖繪示第1圖所示之參考剖面C-C’。
在第2圖中,提供用於形成奈米結構場效電晶體的基底50。基底50可以是半導體基底,例如塊體(bulk)半導體基底、絕緣體上覆半導體(semiconductor-on-insulator,SOI)基底或類似的基底,其可以被摻雜(例如用p型或n型摻質)或不摻雜。基底50可以是晶圓,例如矽晶圓。總體而言,絕緣體上覆半導體基底是在絕緣層上形成的一層半導體材料層。舉例來說,絕緣層可以是埋藏氧化物(buried oxide,BOX)層、氧化矽層或類似的膜層。在通常是矽或玻璃基底的基底上提供絕緣層。也可以使用其他基底,例如多層或漸變(gradient)基底。在一些實施例中,基底50的半導體材料可以包含矽;鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包含矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦及/或磷砷化鎵銦;或前述之組合。
基底50具有區域50N和區域50P。區域50N可用於形成n型裝置,例如N型金屬氧化物半導體(NMOS)電晶體,像是n型奈米結構場效電晶體。區域50P可用於形成p型裝置,例如P型金屬氧化物半導體(PMOS)電晶體,像是p型奈米結構場效電晶體。區域50N可以與區域50P物理性隔開(以分隔物51繪示),並且可以在區域50N與區域50P之間設置任何數量的裝置部件(例如其他主動裝置、摻雜區、隔離結構等)。
可以在基底50的區域50N和區域50P中形成適當的井(未單獨繪示)。在一些實施例中,可以在區域50N中形成P井,並且可以在區域50P中形成N井。在一些實施例中,可以在區域50N和區域50P的每一個中形成P井或N井。
在具有不同井類型的實施例中,可以使用光阻或其他遮罩(未單獨繪示)來實現用於區域50N和區域50P的不同佈植步驟。舉例來說,可以在區域50N中的基底50上方形成光阻。將光阻圖案化以暴露出基底50的區域50P。可以藉由使用旋轉塗佈(spin-on)技術來形成光阻,並且可以使用合適的光學微影技術將光阻圖案化。一旦圖案化光阻,就在區域50P中進行n型雜質佈植,並且光阻可以作為遮罩以大致上防止n型雜質被佈植到區域50N中。n型雜質可以是磷、砷或類似的雜質,佈植到區域中的濃度等於或小於1×1018 原子/cm3 ,例如約1×1016 原子/cm3 至約1×1018 原子/cm3 。在佈植之後,可以移除光阻,例如藉由合適的灰化(ashing)製程。
在佈植區域50P之後,在區域50P中的基底50上方形成光阻。將光阻圖案化以暴露出基底50的區域50N。可以藉由使用旋轉塗佈技術來形成光阻,並且可以使用合適的光學微影技術將光阻圖案化。一旦圖案化光阻,就可以在區域50N中進行p型雜質佈植,並且光阻可以作為遮罩以大致上防止p型雜質被佈植到區域50P中。p型雜質可以是硼、氟化硼、銦或類似的雜質,佈植到區域中的濃度等於或小於1×1018 原子/cm3 ,例如約1×1016 原子/cm3 至約1×1018 原子/cm3 。在佈植之後,可以移除光阻,例如藉由合適的灰化製程。
可以在基底50的上部進行抗擊穿(anti-punch-through,APT)佈植以形成抗擊穿區53。在抗擊穿佈植期間,可以將摻質佈植到區域50N和區域50P中。摻質的導電類型可以與要在區域50N和區域50P中的每一個中形成的源極/汲極區(例如磊晶源極/汲極區92,以下參照第13A~13D圖討論)的導電類型相反。抗擊穿區53可以在將在後續製程中形成之所得到的奈米結構場效電晶體中的隨後形成的源極/汲極區下方延伸。抗擊穿區53可用於減少從源極/汲極區到基底50的漏電。在一些實施例中,抗擊穿區53中的摻雜濃度可以是約1×1018 原子/cm3 至約1×1019 原子/cm3 。為了簡化和易讀,在後續圖式中不繪示抗擊穿區53。在區域50N和區域50P的佈植(包含形成井及/或抗擊穿區53)之後,可以進行退火以修復佈植損傷並活化佈植之p型及/或n型雜質。
進一步在第2圖中,在基底50上方形成多層堆疊56。多層堆疊56包含交替的不同半導體材料之第一半導體層52和第二半導體層54。第一半導體層52可以由第一半導體材料形成,其可以包含例如矽鍺(SiGe)或類似的材料。第二半導體層54可以由第二半導體材料形成,其可以包含例如矽(Si)、碳化矽(SiC)或類似的材料。在一些實施例中,第一半導體層52可以由第二半導體材料形成,並且第二半導體層54可以由第一半導體材料形成。為了說明的目的,多層堆疊56包含三個第一半導體層52(例如第一半導體層52A~52C)和三個第二半導體層54(例如第二半導體層54A~54C)。在一些實施例中,多層堆疊56可以包含兩對至四對之第一半導體層52和第二半導體層54。在一些實施例中,多層堆疊56可以包含任意數量的第一半導體層52和第二半導體層54。可以使用例如化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)、氣相磊晶(vapor phase epitaxy,VPE)、分子束磊晶(molecular beam epitaxy,MBE)或類似的製程來磊晶成長多層堆疊56的每一層。第一半導體層52A~52C中的每一層的厚度可以為約8 nm至約12 nm或約9.5 nm至約10.5 nm。第二半導體層54A~54C中的每一層的厚度可以為約5 nm至約15 nm或約8 nm至約12 nm。
為了說明的目的,將第二半導體層54描述為在區域50N和區域50P中形成通道區。第一半導體層52可以是區域50N和區域50P中的犧牲層,其可以隨後被移除。在一些實施例中,第一半導體層52可以形成通道區,並且第二半導體層54可以是區域50N和區域50P中的犧牲層。在一些實施例中,第二半導體層54可以在區域50N中形成通道區並在區域50P中形成犧牲層,並且第一半導體層52可以在區域50N中形成犧牲層並在區域50P或第一半導體層中形成通道區,或者第一半導體層52可以在區域50N中形成通道區並在區域50P中形成犧牲層,並且第二半導體層54可以在區域50N中形成犧牲層並在區域50P中形成通道區。
在第3圖中,在多層堆疊56中形成奈米結構55,並蝕刻基底50。在一些實施例中,奈米結構55的形成可以藉由在多層堆疊56和基底50中蝕刻出溝槽。蝕刻可以是任何合適的蝕刻製程,例如反應離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)、類似的蝕刻製程或前述之組合。蝕刻可以是非等向性的。
可以藉由任何合適的方法來圖案化奈米結構55和基底50。舉例來說,奈米結構55和基底50的圖案化可以使用一或多個光學微影製程,包含雙重圖案化或多重圖案化製程。總體而言,雙重圖案化或多重圖案化製程結合光學微影和自對準製程,允許產生的圖案的例如節距(pitches)小於使用單一、直接光學微影製程可獲得的圖案的節距。舉例來說,在一實施例中,在基底上方形成犧牲層,並使用光學微影製程將犧牲層圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。然後移除犧牲層,接著可以使用剩餘的間隔物將奈米結構55和基底50圖案化。在一些實施例中,在圖案化奈米結構55和基底50之後,遮罩(或其他層)可以保留在奈米結構55上。
在第4圖中,在鄰近奈米結構55和基底50的圖案化部分形成淺溝槽隔離(STI)區58。可以藉由在相鄰的奈米結構55/基底50的圖案化部分之間和基底50上方形成絕緣材料(未單獨繪示)來形成淺溝槽隔離區58。絕緣材料可以是氧化物,例如氧化矽、氮化物、類似的材料或前述之組合,並且絕緣材料的形成可以藉由高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、可流動式化學氣相沉積(flowable chemical vapor deposition,FCVD)(例如在遠距電漿系統中的以化學氣相沉積為主的材料沉積,以及後固化以使其轉化為另一種材料,例如氧化物)、類似的方法或前述之組合。可以使用藉由任何合適的製程形成的其他絕緣材料。在一些實施例中,絕緣材料是由可流動式化學氣相沉積製程所形成的氧化矽。一旦形成絕緣材料,就可以進行退火製程。在一實施例中,形成絕緣材料使多餘的絕緣材料覆蓋奈米結構55。絕緣材料可以包含單層或可以利用多層結構。舉例來說,在一些實施例中,可以先沿著基底50和奈米結構55的表面形成襯層(liner)(未單獨繪示)。此後,可以在襯層上方形成填充材料,例如上述那些材料。
然後,對絕緣材料施加移除製程以移除奈米結構55上方的多餘絕緣材料。在一些實施例中,可以利用平坦化製程,例如化學機械研磨(chemical mechanical polish,CMP)、回蝕刻(etch back)製程、前述之組合或類似的製程。平坦化製程可以將絕緣材料和奈米結構55平坦化。平坦化製程暴露出奈米結構55,使得在完成平坦化製程之後,絕緣材料和奈米結構55的頂表面是齊平的。
然後,凹蝕絕緣材料以形成如第4圖所示之淺溝槽隔離區58。凹蝕絕緣材料使基底50和奈米結構55的上部從相鄰的淺溝槽隔離區58之間突出。此外,淺溝槽隔離區58的頂表面可以具有如圖所示之平坦表面、凸表面、凹表面(例如碟狀(dishing))或前述之組合。可以藉由適當的蝕刻將淺溝槽隔離區58的頂表面形成為平坦的、凸的及/或凹的。淺溝槽隔離區58的凹蝕可以使用合適的蝕刻製程,例如對絕緣材料的材料具有選擇性的蝕刻製程(例如以比奈米結構55和基底50的材料更快的速率蝕刻絕緣材料的材料)。舉例來說,使用例如可以使用稀釋的氫氟酸(dilute hydrofluoric,dHF)之氧化物移除。
關於第2~4圖描述的製程僅是如何形成奈米結構55的一個範例。在一些實施例中,可以藉由磊晶成長製程形成奈米結構55。舉例來說,可以在基底50的頂表面上方形成介電層,並且可以蝕刻出穿過介電層的溝槽以暴露出下方的基底50。可以在溝槽中磊晶成長磊晶結構,並且可以凹蝕介電層,使磊晶結構從介電層突出以形成奈米結構55。在奈米結構55中,磊晶結構可以包含第一半導體材料和第二半導體材料的交替層。隨後可以凹蝕介電層,使奈米結構55和基底50的一部分從介電層突出。在一些磊晶成長奈米結構55和基底50的一部分的實施例中,可以在成長期間原位(in situ)摻雜磊晶成長的材料,其可以免除先前和後續的佈植,雖然可以一起使用原位和佈植摻雜。
在第5圖中,在延伸超出淺溝槽隔離區58(如果有的話)之基底50的一部分和奈米結構55上形成虛設介電層60。虛設介電層60可以是例如氧化矽、氮化矽、前述之組合或類似的材料,並且可以根據合適的技術沉積或熱成長。在虛設介電層60上方形成虛設閘極層62,並在虛設閘極層62上方形成遮罩層64。可以在虛設介電層60上方沉積虛設閘極層62,然後藉由例如化學機械研磨的製程將虛設閘極層62平坦化。可以在虛設閘極層62上方沉積遮罩層64。虛設閘極層62可以是導電材料或非導電材料,並且可以選自包含非晶矽、多晶矽(polysilicon)、多晶矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物和金屬的群組。虛設閘極層62的沉積可以藉由物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、濺鍍(sputter)沉積或本領域已知且用於沉積導電材料的其他技術。虛設閘極層62可以由對淺溝槽隔離區58的材料具有高蝕刻選擇比的其他材料形成。遮罩層64可以包含例如氮化矽、氮氧化矽或類似的材料。在此範例中,橫跨區域50N和區域50P形成單個虛設閘極層62和單個遮罩層64。應注意的是,繪示的虛設介電層60僅覆蓋奈米結構55和基底50僅用於說明的目的。在一些實施例中,可以沉積虛設介電層60,使得虛設介電層60覆蓋淺溝槽隔離區58、在虛設閘極層62和淺溝槽隔離區58之間延伸。
第6A至20C圖繪示製造實施例裝置期間的各種額外步驟。第6A至20C圖繪示區域50N或區域50P中的任何一個中的部件。舉例來說,第6A至20C圖所示之結構可適用於區域50N和區域50P兩者。在伴隨每個圖式的文字中描述區域50N和區域50P之結構上的差異(如果有的話)。
在第6A至6C圖中,可以使用合適的光學微影和蝕刻技術來圖案化遮罩層64(參見第5圖)以形成遮罩74。可以使用合適的蝕刻技術將遮罩74的圖案轉移到虛設閘極層62上以形成虛設閘極72。在一些實施例中,遮罩74的圖案也可以轉移到虛設介電層60。虛設閘極72覆蓋奈米結構55的各個通道區。在一些實施例中,可以在包含區域50N中的第二半導體材料之第二半導體層54A~54C中形成通道區,並且可以在包含區域50P中的第一半導體材料之第一半導體層52A~52C中形成通道區。遮罩74的圖案可用於將每個虛設閘極72與相鄰的虛設閘極72物理隔開。虛設閘極72的長度方向可以大致上垂直於各個奈米結構55的長度方向。虛設介電層60、虛設閘極72和遮罩74可以統稱為「虛設閘極堆疊」。虛設閘極72的閘極長度可以為約12 nm至約20 nm或約14.5 nm至約17 nm。
在第7A至7C圖中,在第6A至6C圖所示之結構上方形成第一間隔層80和第二間隔層82。在第7A至7C圖中,第一間隔層80形成在淺溝槽隔離區58的頂表面、奈米結構55和遮罩74的頂表面和側壁、以及基底50、虛設閘極72和虛設介電層60的側壁上。第二間隔層82沉積在第一間隔層80上方。第一間隔層80可以藉由熱氧化形成或藉由化學氣相沉積、原子層沉積或類似的方法沉積。第一間隔層80可以由氧化矽、氮化矽、氮氧化矽或類似的材料形成。第二間隔層82可以藉由化學氣相沉積、原子層沉積或類似的方法沉積。第二間隔層82可以由氧化矽、氮化矽、氮氧化矽或類似的材料形成。
在第8A至8C圖中,蝕刻第一間隔層80和第二間隔層82以形成第一間隔物81和第二間隔物83。第一間隔層80和第二間隔層82的蝕刻可以使用適當的蝕刻製程,例如非等向性蝕刻製程(例如乾式蝕刻製程)或類似的製程。第一間隔物81和第二間隔物83可以設置在奈米結構55、虛設介電層60、虛設閘極72和遮罩74的側壁上。由於用於蝕刻第一間隔層80和第二間隔層82的蝕刻製程,第一間隔物81和第二間隔物83的高度可以不同於相鄰的奈米結構55和虛設閘極堆疊,並且奈米結構55的高度不同於虛設閘極堆疊。具體而言,如第8B和8C圖所示,在一些實施例中,第一間隔物81和第二間隔物83可以部分地向上延伸到奈米結構55的側壁上並且可以延伸到虛設閘極堆疊的頂表面。在一些實施例中,第一間隔物81和第二間隔物83可以部分地延伸到虛設閘極堆疊的側壁上。舉例來說,第一間隔物81和第二間隔物83的頂表面可以設置成高於虛設閘極72的頂表面並低於遮罩74的頂表面。第一間隔物81和第二間隔物83的有效介電常數(k值)可以為約4.1至約5.5或約4.6至約5.0,並且厚度T5 為約3.5 nm至約5.0 nm或約4.1 nm至約4.4 nm。
在第9A至9C圖中,在奈米結構55和基底50中形成第一凹槽86。第一凹槽86可以延伸穿過第一半導體層52A~52C和第二半導體層54A~54C。在一些實施例中,第一凹槽86也可以延伸到基底50中。如第9C圖所示,淺溝槽隔離區58的頂表面可以與基底50的頂表面齊平。在一些實施例中,可以蝕刻基底50,使第一凹槽86的底面設置在淺溝槽隔離區58等的頂表面的下方。第一凹槽86的形成可以藉由使用非等向性蝕刻製程(例如反應離子蝕刻(RIE)、中性束蝕刻(NBE)或類似的製程)蝕刻奈米結構55及/或基底50。在用於形成第一凹槽86的蝕刻製程期間,第一間隔物81、第二間隔物83和遮罩74遮蔽奈米結構55和基底50的一部分。可以使用單個蝕刻製程來蝕刻多層堆疊56的每一層。在一些實施例中,可以使用多個蝕刻製程來蝕刻多層堆疊56的層。可以使用定時蝕刻製程以在第一凹槽86達到期望的深度之後停止第一凹槽86的蝕刻。
在第10A至10C圖中,蝕刻由第一凹槽86暴露出的由第一半導體材料(例如第一半導體層52A~52C)形成的多層堆疊56的層的側壁的一部分,以形成側壁凹槽88。側壁的蝕刻可以使用等向性蝕刻製程,例如濕式蝕刻或類似的製程。用於蝕刻第一半導體層52A~52C的蝕刻劑可以對第一半導體材料具有選擇性,使得相較於第一半導體層52A~52C,第二半導體層54A~54C和基底50保持相對未被蝕刻。在第一半導體層52A~52C包含例如SiGe而第二半導體層54A~54C包含例如Si或SiC的實施例中,氫氧化四甲銨(tetramethylammonium hydroxide,TMAH)、氫氧化銨(NH4 OH)或類似的材料可用於蝕刻多層堆疊56的側壁。在其他實施例中,可以使用乾式蝕刻製程來蝕刻多層堆疊56的層。氟化氫、其他氟基氣體或類似的材料可用於蝕刻多層堆疊56的側壁。側壁凹槽88可以延伸到約3 nm至約6 nm或約4.3 nm至約4.7 nm的深度D1 ,並且可以具有約8 nm至約12 nm或約9 nm至約11 nm的寬度W1
如第10B圖所示,第一半導體層52A~52C的側壁可以是凹的。在一些實施例中,第一半導體層52A~52C的中心部分可以從第一半導體層52A~52C的外圍部分凹進約1 nm至約2 nm或約1.4 nm至約1.6 nm的距離。在其他實施例中,第一半導體層52A~52C的側壁可以是大致上垂直的或凸的。
在第11A至11C圖中,內間隔層(例如第一內間隔層90A、第二內間隔層90B和第三內間隔層90C)沉積在第10A至10C圖所示之結構上。可以藉由順應性沉積製程來沉積第一內間隔層90A,例如化學氣相沉積、原子層沉積或類似的方法。可以藉由熱沉積製程以約500°C至約850°C或約650°C至約700°C的溫度來沉積第一內間隔層90A。
第一內間隔層90A可以由使得第一蝕刻選擇比高的材料形成,第一蝕刻選擇比為第一半導體層52A~52C的蝕刻速率對第一內間隔層90A的蝕刻速率之比。如此一來,可以減少在隨後移除第一半導體層52A~52C(以下參照第17A至17C圖討論)期間對第一內間隔層90A的蝕刻。第一蝕刻選擇比可以大於約100或可以在約50至約500或約150至約250的範圍。第一內間隔層90A的材料也可以選擇具有高的第二蝕刻選擇比的材料,第二蝕刻選擇比為第二內間隔層90B的蝕刻速率對第一內間隔層90A的蝕刻速率之比。如此一來,也可以減少在隨後圖案化第一內間隔層90A、第二內間隔層90B和第三內間隔層90C(以下參照第12A至12D圖討論)期間對第一內間隔層90A的蝕刻。第二蝕刻選擇比可以大於約1.5或可以在約1.2至約3.0或約1.5至約2.5的範圍。在各種實施例中,第一內間隔層90A可以包含氮化矽(SiN)、氮碳化矽(SiCN)、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)或類似的材料。在第一內間隔層90A包含碳的實施例中,第一內間隔層90A中的碳的原子濃度可以小於約10%。
在第一內間隔層90A包含氮化矽的實施例中,第一內間隔層90A中氮原子百分比可以在約35%至約50%或約40%至約45%的範圍。在第一內間隔層90A包含氮碳化矽的實施例中,第一內間隔層90A中的氮原子百分比可以在約25%至約45%或約32.5%至約37.5%的範圍,並且第一內間隔層90A中的碳原子百分比可以在約5%至約20%或約10%至約15%的範圍。第一內間隔層90A的密度可以為約2.5 g/cm3 至約2.9 g/cm3 。第一內間隔層90A的介電常數(k值)可以為約6.0至約7.3或約6.5至約6.8。第一內間隔層90A可以沉積到約0.5 nm至約1.5 nm或約0.8 nm至約1.2 nm的厚度T1
然後,可以在第一內間隔層90A上方沉積第二內間隔層90B。可以藉由順應性沉積製程來沉積第二內間隔層90B,例如化學氣相沉積、原子層沉積或類似的方法。可以藉由熱沉積製程以約500°C至約850°C或約650°C至約700°C的溫度來沉積第二內間隔層90B。
第二內間隔層90B可以由低介電常數材料形成,以降低由第一內間隔層90A、第二內間隔層90B和第三內間隔層形成之內間隔物(例如內間隔物90,以下參照第12A至12D圖討論)的有效介電常數值。舉例來說,第二內間隔層90B的介電常數(k值)可以為約4.2至約5.7或約4.8至約5.1。在一些實施例中,第二內間隔層90B可以包含氮碳氧化矽(SiOCN)、氮氧化矽(SiON)或類似的材料。
第二內間隔層90B中的碳原子百分比可以為約0%至約5%、小於約5%或約2.5%。第二內間隔層90B中的氮原子百分比可以為約10%至約35%或約20%至約25%。第二內間隔層90B中的氧原子百分比可以在約30%至約60%或約42.5%至約47.5%的範圍。第二內間隔層90B可以沉積到約1 nm至約3 nm或約1.8 nm至約2.2 nm的厚度T2
然後,可以在第二內間隔層90B上方沉積第三內間隔層90C。可以藉由順應性沉積製程來沉積第三內間隔層90C,例如化學氣相沉積、原子層沉積或類似的方法。可以藉由熱沉積製程以約500°C至約850°C或約650°C至約700°C的溫度來沉積第三內間隔層90C。
第三內間隔層90C可以由低介電常數材料形成,以降低由第一內間隔層90A、第二內間隔層90B和第三內間隔層形成之內間隔物(例如內間隔物90,以下參照第12A至12D圖討論)的有效介電常數值。舉例來說,第三內間隔層90C的介電常數(k值)可以為約4.5至約6.0或約5.1至約5.4。第三內間隔層90C的材料也可以選擇具有高的第三蝕刻選擇比的材料,第三蝕刻選擇比為第二內間隔層90B的蝕刻速率對第三內間隔層90C的蝕刻速率之比。如此一來,可以減少在隨後圖案化第一內間隔層90A、第二內間隔層90B和第三內間隔層90C(以下參照第12A至12D圖討論)期間對第三內間隔層90C的蝕刻。第三蝕刻選擇比可以大於約1.5、或可以在約1.2至約3.0或約1.5至約2.5的範圍。在一些實施例中,第三內間隔層90C可以包含氮化矽(SiN)、氮碳氧化矽(SiOCN)、氮氧化矽(SiON)或類似的材料。
在第三內間隔層90C包含氮化矽的實施例中,第三內間隔層90C中的氮原子百分比可以在約35%至約50%或約40%至約45%的範圍。在第三內間隔層90C包含氮碳氧化矽或氮氧化矽的實施例中,第三內間隔層90C中的碳原子百分比可以小於約10%、可以在約3%至約10%或約5%至約8%的範圍、或可以為約0%;第三內間隔層90C中的氮原子百分比可以在約30%至約50%或約37.5%至約42.5%的範圍;以及在第三內間隔層90C中的氧原子百分比可以在約25%至約55%或約37.5%至約42.5%的範圍。第二內間隔層90B的氧原子百分比可以大於第一內間隔層90A和第三內間隔層90C的氧原子百分比。第三內間隔層90C可以沉積到約1.5 nm至約3.5 nm或約2.3 nm至約2.7 nm的厚度T3 。在一些實施例中,第三內間隔層90C和第二內間隔層90B的組合厚度可以為約3.5 nm至約5.5 nm或約4.3 nm至約4.7 nm。
包含用於第二內間隔層90B和第三內間隔層90C的低介電常數材料可能與傳統觀點相反,因為低介電常數材料對用於移除第一半導體層52A~52C(以下參照第17A至17C圖討論)的蝕刻劑的蝕刻選擇比低。然而,因為第一內間隔層90A可以由對用於移除第一半導體層52A~52C的蝕刻劑具有高蝕刻選擇比的材料形成,所以可以保護第二內間隔層90B和第三內間隔層90C不受用於移除第一半導體層52A~52C的蝕刻劑的影響,並且低介電常數材料可用於第二內間隔層90B和第三內間隔層90C。這可以降低包含第二內間隔層90B和第三內間隔層90C的內間隔物(例如內間隔物90,以下參照第12A至12D圖討論)的有效介電常數值,藉此降低包含內間隔物的半導體裝置之有效電容(Ceff )並改善裝置效能。
可以在第一內間隔層90A與第二內間隔層90B之間以及第二內間隔層90B與第三內間隔層90C之間形成漸變區。第一內間隔層90A和第二內間隔層90B之間的漸變區的厚度可以為約0.5 nm至約1.0 nm或約0.7 nm至約0.8 nm,並且氧原子濃度為約0%至約60%或約27.5%至約32.5%。第二內間隔層90B和第三內間隔層90C之間的漸變區的厚度可以為約0.5 nm至約1.0 nm或約0.7 nm至約0.8 nm,並且氧原子濃度為約25%至約60%或約40%至約45%。
在一些實施例中,可以原位沉積第一內間隔層90A、第二內間隔層90B和第三內間隔層90C,使得在第一內間隔層90A與第二內間隔層90B之間或第二內間隔層90B與第三內間隔層90C之間的界面不形成自然氧化物。第一內間隔層90A、第二內間隔層90B和第三內間隔層90C的沉積可以藉由一致性大於約95%的製程,並且可以沉積在深寬比(aspect ratios)(側壁凹槽88的深度D1 對側壁凹槽88的寬度W1 的比)大於約20的側壁凹槽88中。第一內間隔層90A的厚度T1 對第二內間隔層90B的厚度T2 之比可以為約0.3至約1.0或約0.4至約0.6。第二內間隔層90B的厚度T2 對第三內間隔層90C的厚度T3 之比可以為約0.5至約1.5或約0.4至約0.6。第一內間隔層90A的厚度T1 對第三內間隔層90C的厚度T3 之比可以為約0.3至約1.0或約0.4至約0.6。
雖然描述形成三個內間隔層,但可以形成更多或更少內間隔層。舉例來說,在一些實施例中,可以在第10A至10C圖所示之結構上形成少於三個內間隔層,例如兩個內間隔層,或超過三個內間隔層,例如四個或更多內間隔層。在包含不同數量的內間隔層的實施例中,可以使用與上述相同或相似的製程。
在形成兩個內間隔物的實施例中,第一內間隔層可以與第一內間隔層90A相同或相似,並且第二內間隔層可以與第二內間隔層90B或第三內間隔層90C相同或相似。如此一來,第二內間隔層可以包含氮化矽(SiN)、氮碳氧化矽(SiOCN)、氮氧化矽(SiON)或類似的材料。在第二內間隔層包含氮化矽的實施例中,第二內間隔層中的氮原子百分比可以在約35%至約50%或約40%至約45%的範圍。在第二內間隔層包含氧氮化矽或氮氧化矽的實施例中,第二內間隔層中的碳原子百分比可小於約10%,可以在約0%至約10%或約2.5%至約7.5%的範圍,或可以約0%;第二內間隔層中的氮原子百分比可以在約10%至約50%或約27.5%至約32.5%的範圍;以及第二內間隔層中的氧原子百分比可以在約25%至約60%或約40%至約45%的範圍。第二內間隔層的介電常數(k值)可以為約4.2至約6.0或約4.9至約5.3。第一內間隔層的厚度對第二內間隔層的厚度之比可以為約0.3至約1.0或約0.4至約0.5。包含具有所定厚度比的第一內間隔層和第二內間隔層可以使得由第一內間隔物和第二內間隔物形成之內間隔物的有效介電常數值降至最低,同時防止在移除第一半導體層52A~52C(以下參照第17A至17C圖討論)期間蝕刻第二內間隔層。
在第12A至12D圖中,蝕刻第一內間隔層90A、第二內間隔層90B和第三內間隔層90C以形成內間隔層90。第12D圖繪示第12B圖的區域91的詳細示意圖。第一內間隔層90A、第二內間隔層90B和第三內間隔層90C的蝕刻製程可以是乾式蝕刻製程或濕式蝕刻製程,並且可以是等向性的。當使用濕式蝕刻製程時,可以使用硫酸(H2 SO4 )、磷酸(H3 PO4 )、稀釋的氫氟酸(dHF)、前述之組合或類似的材料來蝕刻第一內間隔層90A、第二內間隔層90B和第三內間隔層90C。
如第12B和12D圖所示,每個內間隔物90的剖面可以是D形的。第三內間隔層90C可以是最內層,並且在剖面示意圖中可以是D形的。第二內間隔層90B在剖面示意圖中可以是C形的,並且可以包覆環繞第三內間隔層90C的頂表面、底表面和側表面。第一內間隔層90A在剖面示意圖中可以是C形的,並且可以包覆環繞第二內間隔層90B的頂表面、底表面和側面。
如前所述,第一內間隔層90A、第二內間隔層90B和第三內間隔層90C可以由使第二蝕刻選擇比和第三蝕刻選擇比高的材料形成。結果,以高於第一內間隔層90A或第三內間隔層90C的速率蝕刻第二內間隔層90B。如第12D圖所示,這導致內間隔物90在剖面示意圖中具有W形側壁。可以蝕刻第一內間隔層90A、第二內間隔層90B和第三內間隔層90C,使第一內間隔層90A的側壁從第二半導體層54A~54C的側壁凹進約0 Å至約10 Å的範圍的深度D2 ,第二內間隔層90B的側壁從第二半導體層54A~54C的側壁凹進約5 Å至約10 Å的範圍的深度D3 ,並且第三內間隔層90C的側壁從第二半導體層54A~54C的側壁凹進約0 Å至約10 Å的範圍的深度D4 。如此一來,內間隔物90的側壁距第二半導體層54A~54C的側壁的深度可以小於約10 Å。將內間隔物90的側壁距第二半導體層54A~54C的側壁的深度保持在約10 Å以下可有助於降低內間隔物90的有效介電常數值。D2:D3之比可以為約0.2至約1.0,並且D3:D4之比可以為約0.2至約1.0。內間隔物90的厚度T4 可以為約3 nm至約6 nm、約4.3 nm至約4.7 nm、或大於約3 nm,並且寬度W2 可以為約8 nm至約12 nm或約9.5至約10.5 nm。將內間隔物90的厚度T4 保持在約3 nm以上可有助於降低內間隔物90的有效介電常數值。內間隔物90的厚度T4 對內間隔物90的寬度W2 之比可以為約0.5至約1.0或約0.6至約0.8。內間隔物90的有效介電常數值可以為約4.0至約6.0或約4.8至約5.2。
藉由將具有不同介電常數和蝕刻選擇比的不同材料用於第一內間隔層90A、第二內間隔層90B和第三內間隔層90C,可以降低內間隔層90的有效介電常數值、可以改善內間隔物90的輪廓,並且可以避免對第一內間隔層90A、第二內間隔層90B和第三內間隔層90C的過度蝕刻。這使得包含內間隔物90的奈米結構場效電晶體具有降低的有效電容(Ceff )、改善的效能和減少的裝置缺陷。
在第13A至13D圖中,在第一凹槽86中形成磊晶源極/汲極區92,以對奈米結構55的第二半導體層54A~54C施加應力,藉此提高效能。如第13B圖所示,在第一凹槽86中形成磊晶源極/汲極區92,使得每個虛設閘極72設置在磊晶源極/汲極區92的各個相鄰對之間。在一些實施例中,第一間隔物81是用來將磊晶源極/汲極區92與虛設閘極72分開適當的橫向距離,使得磊晶源極/汲極區92不會使隨後形成的奈米結構場效電晶體的閘極短路。
可以藉由遮蔽區域50P(例如PMOS區域)來形成區域50N(例如NMOS區域)中的磊晶源極/汲極區92。然後,在第一凹槽86中磊晶成長磊晶源極/汲極區92。磊晶源極/汲極區92可以包含任何合適的材料,例如適用於n型奈米結構場效電晶體的材料。舉例來說,如果第二半導體層54A~54C是矽,則磊晶源極/汲極區92可以包含對第二半導體層54A~54C施加拉伸應變的材料,例如矽、碳化矽、摻雜磷的碳化矽、磷化矽或類似的材料。磊晶源極/汲極區92可以具有從堆層堆疊56的相應表面凸起的表面並且可以具有刻面(facet)。
可以藉由遮蔽區域50N(例如NMOS區域)來形成區域50P(例如PMOS區域)中的磊晶源極/汲極區92。然後,在第一凹槽86中磊晶成長磊晶源極/汲極區92。磊晶源極/汲極區92可以包含任何合適的材料,例如適用於p型奈米結構場效電晶體的材料。舉例來說,如果第二半導體層54A~54C是矽鍺,則磊晶源極/汲極區92可以包含對第二半導體層54A~54C施加壓縮應變的材料,例如矽鍺、摻雜硼的矽鍺、鍺、鍺錫或類似的材料。磊晶源極/汲極區92也可以具有從多層堆疊56的相應表面凸起的表面,並且可以具有刻面。
可以對磊晶源極/汲極區92、第一半導體層52A~52C、第二半導體層54A~54C及/或基底50佈植摻質以形成源極/汲極區,類似於先前討論用於形成輕摻雜的源極/汲極區的製程,然後進行退火。源極/汲極區的雜質濃度可以為約1×1019 原子/cm3 至約1×1021 原子/cm3 。用於源極/汲極區的n型及/或p型雜質可以是先前討論的任何雜質。在一些實施例中,可以在成長期間原位摻雜磊晶源極/汲極區92。
由於用於在區域50N和區域50P中形成磊晶源極/汲極區92的磊晶製程,磊晶源極/汲極區92的上表面具有刻面,其橫向向外擴展超出奈米結構55的側壁。在一些實施例中,這些刻面使同一奈米結構場效電晶體的相鄰磊晶源極/汲極區92合併,如第13C圖所示。在一些實施例中,如第13D圖所示,在磊晶製程完成之後,相鄰的磊晶源極/汲極區92保持分離。在第13C和13D圖所示之實施例中,可以形成第一間隔物81以覆蓋在淺溝槽隔離區58上方延伸之奈米結構55及/或基底50的側壁的部分,藉此阻擋磊晶成長。在一些實施例中,可以調整用於形成第一間隔物81的間隔物蝕刻以移除間隔物材料,以允許磊晶成長的區域延伸到淺溝槽隔離區58的表面。
在第14A至14C圖中,在第13A至13C圖所示之結構上方分別沉積第一層間介電質(interlayer dielectric,ILD)96。第一層間介電質96可以由介電材料形成,並且可以藉由任何合適的方法來沉積,例如化學氣相沉積、電漿輔助化學氣相沉積(plasma-enhanced CVD,PECVD)或可流動式化學氣相沉積。介電材料可以包含磷矽酸鹽玻璃(phospho-silicate glass,PSG)、硼矽酸鹽玻璃(boro-silicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phospho-silicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)或類似的材料。可以使用藉由任何合適的製程形成的其他絕緣材料。在一些實施例中,在第一層間介電質96和磊晶源極/汲極區92、遮罩74和第一間隔物81之間設置接觸蝕刻停止層(contact etch stop layer,CESL)94。接觸蝕刻停止層94可以包含介電材料,例如氮化矽、氧化矽、氮氧化矽或類似的材料,蝕刻速率不同於上方的第一層間介電質96的材料。
在第15A至15C圖中,可以進行例如化學機械研磨製程的平坦化製程以使第一層間介電質96的頂表面與虛設閘極72或遮罩74的頂表面齊平。根據第15A至15C圖所示之實施例,平坦化製程也移除虛設閘極72上的遮罩74以及沿著遮罩74的側壁之第一間隔物81的一部分。在平坦化製程之後,虛設閘極72、第一間隔物81和第一層間介電質96的頂表面是齊平的。因此,第一層間介電質96暴露出虛設閘極72的頂表面。在一些實施例中,可以保留遮罩74,在這種情況下,平坦化製程使第一層間介電質96的頂表面與遮罩74和第一間隔物81的頂表面齊平。
在第16A至16C圖中,在一個(些)蝕刻步驟中移除虛設閘極72和遮罩74(如果存在),藉此形成第二凹槽98。也可以移除第二凹部98中的虛設介電層60的一部分。在一些實施例中,藉由非等向性乾式蝕刻製程移除虛設閘極72。舉例來說,蝕刻製程可以包含使用反應氣體的乾式蝕刻製程,反應氣體以比第一層間介電質96或第一間隔物81更快的速率選擇性地蝕刻虛設閘極72。每個第二凹槽98暴露出及/或覆蓋多層堆疊56的一部分,其作為後續完成的奈米結構場效電晶體中的通道區。作為通道區的多層堆疊56的一部分設置在磊晶源極/汲極區92的相鄰對之間。在移除期間,當蝕刻虛設閘極72時,虛設介電層60可以作為蝕刻停止層。然後,可以在移除虛設閘極72之後移除虛設介電層60。
在第17A至17C圖中,移除第一半導體層52A~52C並延伸第二凹槽98。可以藉由等向性蝕刻製程移除第一半導體層52A~52C,例如濕式蝕刻、乾式蝕刻或類似的蝕刻。可以使用對第一半導體層52A~52C的材料具有選擇性的蝕刻劑來移除第一半導體層52A~52C,而第二半導體層54A~54C、基底50、淺溝槽隔離區58和第一內間隔層90A相較於第一半導體層52A~52C保持相對未蝕刻。在第一半導體層52A~52C包含例如SiGe而第二半導體層54A~54C包含例如矽,並藉由濕式蝕刻移除第一半導體層52A~52C的實施例中,第一半導體層52A~52C的移除可以使用氫氧化四甲銨(TMAH)、氫氧化銨(NH4 OH)、臭氧(O3 )、氨氣(NH3 )、第一化學溶液、第二化學溶液、前述之組合或類似的材料。第一化學溶液(有時稱為標準清潔液1(standard clean 1,SC1))可以包含氫氧化銨(NH4 OH)、過氧化氫(H2 O2 )和水(H2 O)。第二化學溶液(有時稱為標準清潔液2(SC2))可以包含鹽酸(HCl)、過氧化氫(H2 O2 )和水(H2 O)。在藉由乾式蝕刻移除第一半導體層52A~52C的實施例中,第一半導體層52A~52C的移除可以使用氟化氫(HF)、氟(F2 )、其他氟基氣體、氯基氣體或類似的材料。
如前所述,第一內間隔層90A可以由相對第一半導體層52A~52C的材料具有高的第一蝕刻選擇比的材料形成。移除第一半導體層52A~52C可以造成第一內間隔層90A的一些蝕刻。舉例來說,用於移除第一半導體層52A~52C的蝕刻製程可以將第一內間隔層90A的露出表面蝕刻至約0.5 nm至約1.5 nm或約0.8 nm至約1.2 nm的深度。可以將第一內間隔層90A的厚度沉積成使得在移除第一半導體層52A~52C之後,第二內間隔層90B保持未被第一內間隔層90A暴露出來。包含由具有高的第一蝕刻選擇比的材料形成之第一內間隔層90A可以防止第二內間隔層90B和第三內間隔層90C(由低介電常數介電材料形成)的蝕刻,藉此可以降低內間隔物90的有效介電常數值、可以改善內間隔物90的輪廓,並且可以避免對第一內間隔層90A、第二內間隔層90B和第三內間隔層90C的過度蝕刻。這使得包含內間隔物90的奈米結構場效電晶體具有降低的有效電容(Ceff )、改善的效能和減少的裝置缺陷。
在第18A至18D圖中,形成用於取代閘極的閘極介電層100和閘極電極102。第18D圖繪示第18A圖的區域101的詳細示意圖。閘極介電層100順應性地沉積在第二凹槽98中,例如在基底50的頂表面和側壁上以及在第二半導體層54A~54C的頂表面、側壁和底表面上。閘極介電層100也可以沉積在第一層間介電質96、接觸蝕刻停止層94和淺溝槽隔離區58的頂表面上以及第一間隔物81的頂表面和側壁上。
根據一些實施例,閘極介電層100包含氧化矽、氮化矽或前述之多層結構。在一些實施例中,閘極介電層100包含高介電常數介電材料,並且在這些實施例中,閘極介電層100可以具有大於約7.0的介電常數值,並且可以包含鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛及前述之組合的矽化物或金屬氧化物。閘極介電層100的形成方法可以包含分子束沉積(molecular-beam deposition,MBD)、原子層沉積、電漿輔助化學氣相沉積或類似的製程。
閘極電極102分別沉積在閘極介電層100上方,並填充第二凹槽98的剩餘部分。閘極電極102可以包含含金屬材料,例如氮化鈦、氧化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、鎢、前述之組合或前述之多層結構。舉例來說,雖然在第18A和18B圖中繪示單層閘極電極102,但閘極電極102可以包含任意數量的襯層102A、任意數量的功函數調整層102B和填充材料102C,如第18D圖所示。在填充第二凹槽98之後,可以進行例如化學機械研磨的平坦化製程以移除閘極介電層100的多餘部分和閘極電極102的材料,這些多餘部分在第一層間介電質98的頂表面上方。閘極介電層100和閘極電極102的材料的剩餘部分因此形成所得到的奈米結構場效電晶體的取代閘極。閘極電極102和閘極介電層100可以被統稱為「閘極堆疊」。閘極電極102可以具有約13.0 nm至約16.0 nm或約14.0 nm至約15.0 nm的閘極長度。
區域50N和區域50P中的閘極介電層100的形成可以同時發生,使得每個區域中的閘極介電層100由相同的材料形成,並且閘極電極102的形成可以同時發生,使得每個區域中的閘極電極102由相同的材料形成。在一些實施例中,每個區域中的閘極介電層100可以由不同的製程形成,使得閘極介電層100可以是不同的材料,及/或每個區域中的閘極電極102可以由不同的製程形成,使得閘極電極102可以是不同的材料。當使用不同的製程時,可以使用各種遮罩步驟來遮蔽和暴露出適當的區域。
在第19A至19C圖中,在第一層間介電質96上方沉積第二層間介電質106。在一些實施例中,第二層間介電質106是由可流動式化學氣相沉積方法形成的可流動膜。第二層間介電質106可以由介電材料形成,例如磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻雜硼的磷矽酸鹽玻璃(BPSG)、未摻雜的矽酸鹽玻璃(USG)或類似的材料,並且可以藉由任何合適的方法來沉積,例如化學氣相沉積、電漿輔助化學氣相沉積或類似的方法。根據一些實施例,在形成第二層間介電質106之前,凹蝕閘極堆疊(包含閘極介電層100和相應上方的閘極電極102),藉此在閘極堆疊正上方和第一間隔物81的相反部分之間形成凹槽。在凹槽中填充閘極遮罩104,其包含一或多層介電材料,例如氮化矽、氮氧化矽或類似的材料,然後進行平坦化製程以移除在第一層間介電質96上方延伸的介電材料的多餘部分。隨後形成的閘極接觸件(例如閘極接觸件112,以下參照第21A~21D圖討論)穿過閘極遮罩104以接觸凹陷的閘極電極102的頂表面。
在第20A至20C圖中,形成穿過第二層間介電質106和第一層間介電質96的閘極接觸件112和源極/汲極接觸件114。形成穿過第一層間介電質96和第二層間介電質106之用於源極/汲極接觸件114的開口,並形成穿過第二層間介電質106和閘極遮罩104之用於閘極接觸件112的開口。可以使用合適的光學微影和蝕刻技術來形成開口。可以在開口中形成一或多層襯層,例如擴散阻障層、黏著層、前述之組合或多層結構、或類似的層,並且可以在一或多層襯層上方形成導電材料。襯層可以包含鈦、氮化鈦、鉭、氮化鉭、前述之組合或多層結構、或類似的材料。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、鎳或類似的材料。可以進行例如化學機械研磨的平坦化製程以從第二層間介電質106的表面移除多餘的材料。剩餘的襯層和導電材料在開口中形成源極/汲極接觸件114和閘極接觸件112。可以進行退火製程以在磊晶源極/汲極區92與源極/汲極接觸件114之間的界面形成矽化物。源極/汲極接觸件114物理和電性耦合至磊晶源極/汲極區92,並且閘極接觸件112物理和電性耦合至閘極電極102。源極/汲極接觸件114和閘極接觸件112可以用不同的製程形成,或者可以用相同的製程形成。雖然繪示為形成相同的剖面,但應理解的是,源極/汲極接觸件114和閘極接觸件112中的每一個可以形成為不同的剖面,這可以避免接觸件的短路。
由第一內間隔層90A、第二內間隔層90B和第三內間隔層90C形成內間隔層90,其由具有不同介電常數和蝕刻選擇比之不同材料形成,允許降低內間隔物90的有效介電常數值、改善內間隔物90的輪廓,並避免過度蝕刻第一內間隔層90A、第二內間隔層90B和第三內間隔層90C。這使得包含內間隔物90的奈米結構場效電晶體具有降低的有效電容(Ceff )、改善的效能和減少的裝置缺陷。
根據一實施例,半導體裝置包含基底;在基底上方的多個半導體通道結構;在多個半導體通道結構上方的閘極結構,閘極結構在多個半導體通道結構中的相鄰半導體通道結構之間延伸;鄰近閘極結構的源極/汲極區,源極/汲極區接觸多個半導體通道結構;以及在源極/汲極區和閘極結構之間的內間隔物,內間隔物包含接觸閘極結構和源極/汲極區的第一內間隔層,第一內間隔層包含矽和氮;以及接觸第一內間隔層和源極/汲極區的第二內間隔層,第二內間隔層包含矽、氧和氮,第二內間隔層的介電常數低於第一內間隔層的介電常數。在一實施例中,內間隔物的第一側壁接觸源極/汲極區,第一側壁在剖面示意圖中具有W形。在一實施例中,第一內間隔層包含氮碳化矽,第一內間隔層的碳原子百分比為5%至20%,並且第一內間隔層的氮原子百分比為25%至45%。在一實施例中,第一內間隔層包含氮化矽,並且第一內間隔層的氮原子百分比為35%至50%。在一實施例中,第二內間隔層包含氮碳氧化矽,第二內間隔層的氧原子百分比為25%至60%,第二內間隔層的碳原子百分比小於10%,並且第二內間隔層的氮原子百分比為10%至50%。在一實施例中,第二內間隔層包含氮氧化矽,第二內間隔層的氧原子百分比為25%至60%,並且第二內間隔層的氮原子百分比為10%至50%。在一實施例中,第一內間隔層的介電常數為6.0至7.3,並且第二內間隔層的介電常數為4.2至6.0。在一實施例中,第一內間隔層的厚度對第二內間隔層的厚度之比為4.5。
根據另一實施例,半導體裝置包含半導體基底;在半導體基底上方的多個通道區;在多個通道區上方的閘極結構,閘極結構在多個通道區中的相鄰通道區之間延伸;沿著閘極結構的側壁的上間隔物;鄰近閘極結構的源極/汲極區;以及多個內間隔物,每個內間隔物插入多個通道區中的相鄰通道區之間,每個內間隔物插入源極/汲極區和閘極結構之間,每個內間隔物包含接觸閘極結構和源極/汲極區的第一內間隔層,第一內間隔層包含矽和氮;接觸第一內間隔層和源極/汲極區的第二內間隔層,第二內間隔層包含矽、氧和氮,第二內間隔層的介電常數低於第一內間隔層的介電常數;以及接觸第二內間隔層和源極/汲極區的第三內間隔層,第三內間隔層包含矽和氮,鄰近源極/汲極區之內間隔層的側壁在剖面示意圖中為W形。在一實施例中,第三內間隔層包含矽、氮和氧,並且第二內間隔層中的氧原子百分比大於第三內間隔層中的氧原子百分比。在一實施例中,第一內間隔層、第二內間隔層和第三內間隔層包含碳,並且第一內間隔層中的碳原子百分比大於第二內間隔層和第三內間隔層中的碳原子百分比。在一實施例中,在源極/汲極區和閘極結構之間測量的內間隔物的厚度大於3 nm。在一實施例中,內間隔物的側壁從多個通道區的側壁凹進少於10 Å。在一實施例中,第一內間隔層的介電常數為6.0至7.3,第二內間隔層的介電常數為4.2至5.7,並且第三內間隔層的介電常數為4.5至6.0。
根據又另一實施例,方法包含在半導體基底上方形成多層堆疊,多層堆疊包含第一半導體材料和與第一半導體材料不同的第二半導體材料之交替層;蝕刻第一半導體材料的側壁以形成側壁凹槽;在多層堆疊上方和側壁凹槽中沉積第一內間隔層;在第一內間隔層上方沉積第二內間隔層;沉積第三內間隔層以填充側壁凹槽;進行第一蝕刻製程以蝕刻第一內間隔層、第二內間隔層和第三內間隔層,並在側壁凹槽中形成內間隔物,內間隔物包含第一內間隔層、第二內間隔層和第三內間隔層的剩餘部分,第一蝕刻製程蝕刻第二內間隔層的蝕刻速率大於第三內間隔層的蝕刻速率;進行第二蝕刻製程以移除第一半導體材料並形成在內間隔物之間延伸的第一凹槽,第二蝕刻製程蝕刻第一內間隔層的蝕刻速率小於第一半導體材料的蝕刻速率;以及在第一凹槽中形成閘極結構。在一實施例中,原位沉積第一內間隔層、第二內間隔層和第三內間隔層。在一實施例中,在500°C至680°C的溫度下沉積第一內間隔層、第二內間隔層和第三內間隔層。在一實施例中,在第二蝕刻製程期間,第一半導體材料的蝕刻速率對第一內間隔層的蝕刻速率之比為50至500。在一實施例中,在第一蝕刻製程期間,第二內間隔層的蝕刻速率對第三內間隔層的蝕刻速率之比為1.2至3.0。在一實施例中,在第一蝕刻製程期間,第二內間隔層的蝕刻速率對第一內間隔層的蝕刻速率之比為1.2至3.0。
以上概述數個實施例之部件,使得本技術領域中具有通常知識者可以更加理解本發明實施例的面向。本技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優點。本技術領域中具有通常知識者也應該理解到,此類等效的結構並未悖離本發明實施例的精神與範圍,並且他們能在不違背本發明實施例的精神和範圍下,做各式各樣的改變、取代和調整。
50:基底 50N,50P:區域 51:分隔物 52,52A,52B,52C:第一半導體層 53:抗擊穿區 54,54A,54B,54C:第二半導體層 55:奈米結構 56:多層堆疊 58:淺溝槽隔離區 60:虛設介電層 62:虛設閘極層 64:遮罩層 72:虛設閘極 74:遮罩 80:第一間隔層 81:第一間隔物 82:第二間隔層 83:第二間隔物 86:第一凹槽 88:側壁凹槽 90:內間隔物 90A:第一內間隔層 90B:第二內間隔層 90C:第三內間隔層 91,101:區域 92:磊晶源極/汲極區 94:接觸蝕刻停止層 96:第一層間介電質 98:第二凹槽 100:閘極介電層 102:閘極電極 102A:襯層 102B:功函數調整層 102C:填充材料 104:閘極遮罩 106:第二層間介電質 112:閘極接觸件 114:源極/汲極接觸件 A-A’,B-B’,C-C’:剖面 D1,D2,D3,D4:深度 T1,T2,T3,T4,T5:厚度 W1,W2:寬度
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1圖根據一些實施例以三維示意圖繪示包含奈米結構場效電晶體(nanostructure field-effect transistors,NSFETs)之半導體裝置的範例。 第2、3、4、5、6A、6B、6C、7A、7B、7C、8A、8B、8C、9A、9B、9C、10A、10B、10C、11A、11B、11C、12A、12B、12C、12D、13A、13B、13C、13D、14A、14B、14C、15A、15B、15C、16A、16B、16C、17A、17B、17C、18A、18B、18C、18D、19A、19B、19C、20A、20B和20C圖是根據一些實施例之製造半導體裝置的中間階段的剖面示意圖。
50:基底
54A,54B,54C:第二半導體層
81:第一間隔物
83:第二間隔物
90:內間隔物
90A:第一內間隔層
90B:第二內間隔層
90C:第三內間隔層
92:磊晶源極/汲極區
94:接觸蝕刻停止層
96:第一層間介電質
100:閘極介電層
102:閘極電極
104:閘極遮罩
106:第二層間介電質
112:閘極接觸件
114:源極/汲極接觸件

Claims (20)

  1. 一種半導體裝置,包括: 一基底; 複數個半導體通道結構,在該基底上方; 一閘極結構,在該些半導體通道結構上方,其中該閘極結構在該些半導體通道結構中的相鄰半導體通道結構之間延伸; 一源極/汲極區,鄰近該閘極結構,該源極/汲極區接觸該些半導體通道結構;以及 一內間隔物,插入該源極/汲極區和該閘極結構之間,該間隔物包括: 一第一內間隔層,接觸該閘極結構和該源極/汲極區,該第一內間隔層包括矽和氮;以及 一第二內間隔層,接觸該第一內間隔層和該源極/汲極區,該第二內間隔層包括矽、氧和氮,該第二內間隔層的介電常數低於該第一內間隔層的介電常數。
  2. 如請求項1之半導體裝置,其中該內間隔物的一第一側壁接觸該源極/汲極區,該第一側壁在剖面示意圖中具有W形。
  3. 如請求項1之半導體裝置,其中該第一內間隔層包括氮碳化矽,其中該第一內間隔層的碳原子百分比為5%至20%,且其中該第一內間隔層的氮原子百分比為25%至45%。
  4. 如請求項1之半導體裝置,其中該第一內間隔層包括氮化矽,且其中該第一內間隔層的氮原子百分比為35%至50%。
  5. 如請求項1之半導體裝置,其中該第二內間隔層包括氮碳氧化矽,其中該第二內間隔層的氧原子百分比為25%至60%,其中該第二內間隔層的碳原子百分比小於10%,且其中該第二內間隔層的氮原子百分比為10%至50%。
  6. 如請求項1之半導體裝置,其中該第二內間隔層包括氮氧化矽,其中該第二內間隔層的氧原子百分比為25%至60%,且其中該第二內間隔層的氮原子百分比為10%至50%。
  7. 如請求項1之半導體裝置,其中該第一內間隔層的介電常數為6.0至7.3,且其中該第二內間隔層的介電常數為4.2至6.0。
  8. 如請求項1之半導體裝置,其中該第一內間隔層的厚度對該第二內間隔層的厚度之比為4.5。
  9. 一種半導體裝置,包括: 一半導體基底; 複數個通道區,在該半導體基底上方; 一閘極結構,在該些通道區上方,其中該閘極結構在該些通道區中的相鄰通道區之間延伸; 複數個上間隔物,沿著該閘極結構的側壁; 一源極/汲極區,鄰近該閘極結構;以及 複數個內間隔物,該些內間隔物中的每一個插入該些通道區中的相鄰通道區之間,該些內間隔物中的每一個插入該源極/汲極區和該閘極結構之間,該些內間隔物中的每一個包括: 一第一內間隔層,接觸該閘極結構和該源極/汲極區,該第一內間隔層包括矽和氮; 一第二內間隔層,接觸該第一內間隔層和該源極/汲極區,該第二內間隔層包括矽、氧和氮,該第二內間隔層的介電常數低於該第一內間隔層的介電常數;以及 一第三內間隔層,接觸該第二內間隔層和該源極/汲極區,該第三內間隔層包括矽和氮,其中鄰近該源極/汲極區之該內間隔層的側壁在剖面示意圖中為W形。
  10. 如請求項9之半導體裝置,其中該第三內間隔層包括矽、氮和氧,且其中該第二內間隔層中的氧原子百分比大於該第三內間隔層中的氧原子百分比。
  11. 如請求項9之半導體裝置,其中該第一內間隔層、該第二內間隔層和該第三內間隔層包括碳,且其中該第一內間隔層中的碳原子百分比大於該第二內間隔層和該第三內間隔層中的碳原子百分比。
  12. 如請求項9之半導體裝置,其中在該源極/汲極區和該閘極結構之間測量的該些內間隔物的厚度大於3 nm。
  13. 如請求項9之半導體裝置,其中該些內間隔物的側壁從該些通道區的側壁凹進少於10 Å。
  14. 如請求項9之半導體裝置,其中該第一內間隔層的介電常數為6.0至7.3,其中該第二內間隔層的介電常數為4.2至5.7,且其中該第三內間隔層的介電常數為4.5至6.0。
  15. 一種半導體裝置的形成方法,包括: 在一半導體基底上方形成一多層堆疊,該多層堆疊包括一第一半導體材料和與該第一半導體材料不同的一第二半導體材料之複數個交替層; 蝕刻該第一半導體材料的側壁以形成複數個側壁凹槽; 在該多層堆疊上方和該些側壁凹槽中沉積一第一內間隔層; 在該第一內間隔層上方沉積一第二內間隔層; 沉積一第三內間隔層以填充該些側壁凹槽; 進行一第一蝕刻製程以蝕刻該第一內間隔層、該第二內間隔層和該第三內間隔層,並在該些側壁凹槽中形成複數個內間隔物,該些內間隔物包括該第一內間隔層、該第二內間隔層和該第三內間隔層的剩餘部分,其中該第一蝕刻製程蝕刻該第二內間隔層的蝕刻速率大於該第三內間隔層的蝕刻速率; 進行一第二蝕刻製程以移除該第一半導體材料並形成在該些內間隔物之間延伸的複數個第一凹槽,其中該第二蝕刻製程蝕刻該第一內間隔層的蝕刻速率小於該第一半導體材料的蝕刻速率;以及 在該些第一凹槽中形成複數個閘極結構。
  16. 如請求項15之半導體裝置的形成方法,其中原位沉積該第一內間隔層、該第二內間隔層和該第三內間隔層。
  17. 如請求項15之半導體裝置的形成方法,其中在500°C至680°C的溫度下沉積該第一內間隔層、該第二內間隔層和該第三內間隔層。
  18. 如請求項15之半導體裝置的形成方法,其中在該第二蝕刻製程期間,該第一半導體材料的蝕刻速率對該第一內間隔層的蝕刻速率之比為50至500。
  19. 如請求項15之半導體裝置的形成方法,其中在該第一蝕刻製程期間,該第二內間隔層的蝕刻速率對該第三內間隔層的蝕刻速率之比為1.2至3.0。
  20. 如請求項15之半導體裝置的形成方法,其中在該第一蝕刻製程期間,該第二內間隔層的蝕刻速率對該第一內間隔層的蝕刻速率之比為1.2至3.0。
TW110102259A 2020-01-30 2021-01-21 半導體裝置及其形成方法 TWI828962B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062967933P 2020-01-30 2020-01-30
US62/967,933 2020-01-30
US16/940,226 2020-07-27
US16/940,226 US11444177B2 (en) 2020-01-30 2020-07-27 Semiconductor device and method

Publications (2)

Publication Number Publication Date
TW202129840A true TW202129840A (zh) 2021-08-01
TWI828962B TWI828962B (zh) 2024-01-11

Family

ID=77062689

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110102259A TWI828962B (zh) 2020-01-30 2021-01-21 半導體裝置及其形成方法

Country Status (3)

Country Link
US (1) US11444177B2 (zh)
KR (1) KR102425111B1 (zh)
TW (1) TWI828962B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11664420B2 (en) * 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11257917B2 (en) * 2020-06-05 2022-02-22 Qualcomm Incorporated Gate-all-around (GAA) transistors with additional bottom channel for reduced parasitic capacitance and methods of fabrication
WO2024034023A1 (ja) * 2022-08-09 2024-02-15 株式会社日立ハイテク 半導体装置の製造方法及びプラズマ処理方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
KR20160059861A (ko) 2014-11-19 2016-05-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9978833B2 (en) * 2016-03-11 2018-05-22 Samsung Electronics Co., Ltd. Methods for varied strain on nano-scale field effect transistor devices
KR102277610B1 (ko) 2017-06-29 2021-07-14 삼성전자주식회사 반도체 장치의 제조 방법
US10361278B2 (en) 2017-08-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR102471539B1 (ko) * 2017-12-27 2022-11-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10192867B1 (en) * 2018-02-05 2019-01-29 Globalfoundries Inc. Complementary FETs with wrap around contacts and method of forming same
US10943989B2 (en) * 2019-03-08 2021-03-09 International Business Machines Corporation Gate to source/drain leakage reduction in nanosheet transistors via inner spacer optimization

Also Published As

Publication number Publication date
US20210242327A1 (en) 2021-08-05
KR20210098309A (ko) 2021-08-10
TWI828962B (zh) 2024-01-11
KR102425111B1 (ko) 2022-07-26
US11444177B2 (en) 2022-09-13

Similar Documents

Publication Publication Date Title
TWI748801B (zh) 半導體裝置及其形成方法
TWI725588B (zh) 半導體裝置的形成方法及半導體裝置
TWI828962B (zh) 半導體裝置及其形成方法
US20230387246A1 (en) Methods of forming gate structures with uniform gate length
TW202133327A (zh) 半導體裝置
US20210257260A1 (en) Semiconductor Device and Method
US20240177998A1 (en) Transistor Gate Structure and Method of Forming
TW202133271A (zh) 半導體裝置
US11923432B2 (en) Semiconductor device and method
TWI770789B (zh) 電晶體、半導體裝置及形成方法
KR102623749B1 (ko) 갭충전 구조물 및 그 제조 방법
TWI807706B (zh) 半導體裝置及其製造方法
US11901439B2 (en) Semiconductor device and method
TWI839692B (zh) 半導體裝置及其製造方法
TWI808733B (zh) 半導體裝置及其形成方法
US20220359066A1 (en) Semiconductor Device and Method
US20230377989A1 (en) Source/Drain Regions and Methods of Forming Same
TW202410163A (zh) 奈米結構場效電晶體及其製造方法
TW202230606A (zh) 半導體裝置
KR20210148864A (ko) 반도체 디바이스 및 제조 방법
CN116779545A (zh) 外延下隔离结构