TWI825835B - 半導體元件及其形成方法 - Google Patents

半導體元件及其形成方法 Download PDF

Info

Publication number
TWI825835B
TWI825835B TW111125427A TW111125427A TWI825835B TW I825835 B TWI825835 B TW I825835B TW 111125427 A TW111125427 A TW 111125427A TW 111125427 A TW111125427 A TW 111125427A TW I825835 B TWI825835 B TW I825835B
Authority
TW
Taiwan
Prior art keywords
layer
gate
working gas
deposition cycle
dielectric layer
Prior art date
Application number
TW111125427A
Other languages
English (en)
Other versions
TW202305955A (zh
Inventor
李毓珊
黃發威
鄭聿劭
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202305955A publication Critical patent/TW202305955A/zh
Application granted granted Critical
Publication of TWI825835B publication Critical patent/TWI825835B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種形成半導體元件之方法,包括利用第一沉積循環在沉積腔室中的基材上方形成第一層以及利用第二沉積循環在沉積腔室中的基材上方形成第二層。第一沉積循環包括使第一工作氣體流過基材上方並且使第二工作氣體流過基材上方。第二沉積循環包括使第三工作氣體流過基材上方並且使第四工作氣體流過基材上方。

Description

半導體元件及其形成方法
本揭露係有關於一種半導體元件及其形成方法。
半導體元件用於各種電子應用,例如個人計算機、手機、數位相機以及其他電子設備。半導體元件通常藉由在半導體基材上方依序沉積絕緣或介電層、導電層以及半導體材料層來製造,並使用微影技術圖案化各種材料層,以在其上形成電路部件與元件。
半導體產業藉由不斷減少最小特徵尺寸來持續改善各種電子部件(例如電晶體、二極體、電阻器、電容器等)的積體密度,允許更多部件整合到給定區域中。
在本揭露的一些實施方式中,一種形成半導體元件之方法包括:利用第一沉積循環形成第一層於沉積腔室中之基材上方,第一沉積循環包括:使第一工作氣體流過基材上方,第一工作氣體包含鎢;以及使第二工作氣體流過 基材上方,第二工作氣體包含硼;以及利用第二沉積循環形成第二層於沉積腔室中之基材上方,第二沉積循環包括:使第三工作氣體流過基材上方,第三工作氣體包含鎢;以及使第四工作氣體流過基材上方,第四工作氣體包含矽。
在本揭露的一些實施方式中,一種形成半導體元件之方法包括:沉積虛設閘極於半導體鰭片上方;形成延伸自半導體鰭片之頂表面之源極/汲極區域,源極/汲極區域與虛設閘極相鄰;形成介電層於源極/汲極區域上方,介電層鄰近虛設閘極;移除虛設閘極,其中移除虛設閘極形成凹槽延伸穿過介電層;形成閘極介電層於凹槽中;以閘電極填充凹槽,填充凹槽包括:藉由執行第一原子層沉積循環在凹槽中沉積第一鎢層,第一原子層沉積循環使用六氟化鎢與矽烷作為前驅物來執行;藉由執行第二原子層沉積循環在凹槽中沉積第二鎢層,第二原子層沉積循環使用六氟化鎢與乙硼烷作為前驅物來執行;以及移除閘極介電層與閘電極的過剩部位。
在本揭露的一些實施方式中,一種半導體元件包括:從基材延伸的半導體鰭片;半導體鰭片上的源極/汲極區域;以及半導體鰭片的通道區上的閘極堆疊,閘極堆疊與源極/汲極區域相鄰,閘極堆疊包括:半導體鰭片上的閘極介電層以及閘極介電層上的閘電極,其中閘電極的X射線繞射光譜沒有寬度在檢測器角度2θ中小於5度的尖峰。
50:基材
50N:n型區域
50P:p型區域
51:分隔物
52:鰭片
54:絕緣材料
56:隔離區
58:通道區
60:虛設介電層
62:虛設閘極層
64:遮罩層
72:虛設閘極
74:遮罩
80:閘極封合間隔件
82:源極/汲極區域
86:閘極間隔件
87:CESL
88:第一ILD
89,200:區域
90:凹槽
92:閘極介電層
94:閘電極
94A:襯墊層
94B:功函數調諧層
94C:填充材料
96:閘遮罩
108:第二ILD
110:閘極接點
112:源極/汲極接點
204:第一層
208:第二層
212:第三層
216:第四層
1000:第一原子層沉積循環
1010,2010:第一步驟
1020,2020:第二步驟
1030,2030:第三步驟
1040,2040:第四步驟
2000:第二原子層沉積循環
A-A,B-B,C-C:剖面
當結合圖式閱讀時,得以自以下詳細描述最佳地理解本揭露。需強調的是,根據本領域之標準實務,各種特徵並未按比例繪製。事實上,為了論述清楚起見,可任意地增大或減少各種特徵之尺寸。
第1圖繪示根據一些實施方式的鰭式場效電晶體(fin field-effect transistor,FinFET)的一實施例的三維視圖。
第2圖、第3圖、第4圖、第5圖、第6圖、第7圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖、第10B圖、第10C圖、第10D圖、第11A圖、第11B圖、第12A圖、第12B圖、第13A圖、第13B圖、第14A圖、第14B圖、第14C圖、第15圖、第16圖、第17圖、第19圖、第21圖、第22圖、第23圖、第24A圖、第24B圖、第24C圖、第27A圖、第27B圖、第27C圖、第28A圖、第28B圖、第29A圖以及第29B圖繪示根據一些實施方式的在FinFET的製造中的中間階段的剖面圖。
第18圖繪示根據一些實施方式的沉積製程的方法的流程圖。
第20圖繪示根據一些實施方式的沉積製程的方法的流程圖。
第25A圖與第25B圖繪示根據一些實施方式的動態二次離子質譜圖。
第26圖繪示根據一些實施方式的X射線繞射光譜。
以下揭露內容提供用於實施本揭露之不同特徵的許多不同實施方式或實施例。以下描述部件及排列之特定實施方式以簡化本揭露。當然,此些僅為實施方式且並不意欲為限制。舉例來說,在以下敘述中,形成第一特徵在第二特徵上方或之上可以包含第一和第二特徵直接接觸形成的實施方式,並且還可以包含在第一和第二特徵之間形成附加特徵的實施方式,使得第一和第二特徵可以不直接接觸。此外,本揭露可以在各種實施方式中重複參考數字和/或字母。該重複是出於簡單和清楚的目的且其本身並不指示所敘述的各種實施方式和/或配置之間的關係。
此外,為了便於描述,可在本文中使用像是「在......下面(beneath)」、「在......下方(below)」、「下部(lower)」、「在......之上(above)」、「上部(upper)、「頂部(top)」、「底部(bottom)」及其類似術語之空間相對術語,以描述如諸圖中所繪示之一個元件或特徵與另一(另一些)元件或特徵的關係。除了諸圖中所描繪之定向以外,此些空間相對術語意欲涵蓋元件在使用中或操作中之不同定向。元件可以其他方向(旋轉90度或以其他方向)且可同樣相應地解釋本文中所使用之空間相對描述詞。
根據一些實施方式,形成導電材料(例如鎢 (tungsten,W))作為閘電極的填充材料以填充凹槽。交替循環的原子層沉積(atomic layer deposition,ALD)製程形成具有較光滑的表面的導電材料,從而為填充閘極凹槽提供較好的間隙填充能力,同時保持低電阻。藉由交替第一原子層沉積循環與第二原子層沉積循環來執行交替循環的原子層沉積製程。第一原子層沉積循環包括使包含鎢的工作氣體與包含硼的工作氣體流動,以為具有較光滑的表面與較好的間隙填充能力的鎢膜產生基底成核層。第二原子層沉積循環包括使包含鎢的工作氣體與包含矽的工作氣體流動,以為具有較低電阻的鎢膜的產生基底成核層,提供改良的元件性能。
第1圖繪示根據一些實施方式的FinFET的一實施例的三維視圖。FinFET包括在基材50(例如半導體基材)上的鰭片52。隔離區56設置在基材50中,且鰭片52突出於相鄰的隔離區56上方或從相鄰的隔離區56之間突出。儘管隔離區56被描述/繪示為與基材50分離,但如此處所用,術語「基材」可用於僅指稱半導體基材或包括隔離區56的半導體基材。此外,儘管鰭片52被繪示為與基材50相同的單一連續材料,鰭片52與/或基材50可能包括單一材料或多種材料。在上下文中,鰭片52指稱在相鄰的隔離區56之間延伸的部位。
閘極介電層92沿著側壁且在鰭片52的頂表面上方,並且閘電極94在閘極介電層92上方。源極/汲極區域82設置於在閘極介電層92與閘電極94的相對側之鰭 片52上方。第1圖進一步繪示了在後續圖式中使用的參考剖面。剖面A-A沿著閘電極94的縱軸,並且在,舉例來說,垂直於FinFET的源極/汲極區域82之間的電流方向之方向上。剖面B-B垂直於剖面A-A且沿著鰭片52的縱軸,並且在,舉例來說,FinFET的源極/汲極區域82之間的電流方向上。剖面C-C平行於剖面A-A且延伸通過FinFET的源極/汲極區域82。為了清楚起見,後續圖式參照這些參考剖面。
此處論述之一些實施方式是在使用後閘極製程形成的FinFET的上下文下論述的。在另一些實施方式中,可能使用前閘極製程。此外,一些實施方式考慮在平面元件中使用的各方面,舉例來說,平面FET、奈米結構(例如奈米片、奈米線、環繞閘極等)場效應電晶體(nanostructure field effect transistors,NSFET)等。
第2圖至第17圖、第19圖、第21圖至第24C圖以及第27A圖至第29B圖是根據一些實施方式的在FinFET的製造中的中間階段的剖面圖。第2圖至第7圖繪示了第1圖中所示的參考剖面A-A,除了多個鰭片/FinFET。第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖、第24A圖、第27A圖、第28A圖以及第29A圖是沿第1圖中所示的參考剖面A-A繪示出的,而第8B圖、第9B圖、第10B圖、第11B圖、第12B圖、第13B圖、第14B圖、第14C圖、 第15圖、第16圖、第17圖、第19圖、第21圖、第22圖、第23圖、第24B圖、第24C圖、第27B圖、第27C圖、第28B圖以及第29B圖是沿第1圖中所示的相似的參考剖面B-B繪示出的,除了多個鰭片/FinFET。第10C圖與第10D圖是沿第1圖中所示的參考剖面C-C繪示出的,除了多個鰭片/FinFET。
在第2圖中,提供了基材50。基材50可為半導體基材,例如主體半導體(bulk semiconductor)、絕緣層上半導體(semiconductor-on-insulator,SOI)基材等,其可為摻雜(例如用p型或n型摻雜物)或未摻雜的。基材50可能為晶圓(例如矽晶圓)。SOI基材通常是在絕緣層上形成的一層半導體材料。舉例來說,絕緣層可能為埋藏氧化物(buried oxide,BOX)層、氧化矽層等。絕緣層提供於基材50上,通常是矽基材或玻璃基材。也可以使用其他基材,例如多層基材或梯度基材。在一些實施方式中,基材50的半導體材料可以包括矽、鍺;化合物半導體包括碳化矽(silicon carbide,SiC)、砷化鎵(gallium arsenide)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)和/或銻化銦(indium antimonide);合金半導體包括矽鍺(silicon-germanium,SiGe)、磷化砷化鎵(gallium arsenide phosphide)、砷化鋁銦(aluminum indium arsenide)、砷化鋁鎵(aluminum gallium arsenide)、 砷化鎵銦(gallium indium arsenide)、磷化鎵銦(gallium indium phosphide)和/或磷化砷化鎵銦(gallium indium arsenide phosphide);或其組合。
基材50具有n型區域50N和p型區域50P。n型區域50N可以用於形成n型元件,舉例來說,NMOS電晶體(例如n型FinFET)。而p型區域50P可以用於形成p型元件,舉例來說,PMOS電晶體(例如p型FinFET)。n型區域50N可能與p型區域50P物理上分離(如繪示,藉著分隔物51),並且可能設置任意數量的元件特徵(例如其他主動元件、摻雜區、隔離結構等)在n型區域50N與p型區域50P之間。
在第3圖中,鰭片52形成在基材50中。鰭片52為半導體條帶。在一些實施方式中,可能藉由在基材50中蝕刻溝槽來在基材50中形成鰭片52。蝕刻可能為任何容許的蝕刻製程,例如反應離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)等或其組合。蝕刻可以是非等向性的。
可能藉由任何合適的方法圖案化鰭片52。舉例來說,可能使用一或多個光刻製程圖案化鰭片52,包括雙重圖案化或多重圖案化製程。通常,雙重圖案化或多重圖案化製程結合了光刻以及自對準製程,從而允許創建具有例如比使用單個直接光刻製程可獲得的間距較小的間距的圖案。舉例來說,在一個實施方式中,犧牲層形成在基材上 方並使用光刻製程圖案化。使用自對準製程在圖案化犧牲層旁邊形成間隔件。然後去除犧牲層,接著可能使用剩餘的間隔件圖案化鰭片52。在一些實施方式中,遮罩(或其他層)可能保留在鰭片52上。
在第4圖中,絕緣材料54形成在基材50上方與相鄰的鰭片52之間。絕緣材料54可能為氧化物,例如氧化矽(silicon oxide)、氮化物等或其組合,並且可能藉由高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、流動式化學氣相沉積(flowable chemical vapor deposition,FCVD)(例如在遙控電漿系統中基於化學氣相沉積的材料沉積和後固化以使其轉化為另一種材料,例如氧化物)等或其組合形成。可能使用藉由任何容許的製程形成的其他絕緣材料。在所繪示的實施方式中,絕緣材料54為藉由FCVD製程形成的氧化矽。一旦形成絕緣材料,就可以執行退火製程。在一個實施方式中,形成絕緣材料54使得過剩的絕緣材料54覆蓋鰭片52。儘管絕緣材料54被繪示為單層,但一些實施方式可能使用多層。舉例來說,在一些實施方式中,可以首先沿著基材50和鰭片52的表面形成襯墊(未示出)。此後,可以在襯墊上方形成諸如上述那些的填充材料。
在第5圖中,對絕緣材料54應用移除製程,以移除在鰭片52上方的過剩的絕緣材料54。在一些實施方式中,可能使用平坦化製程,例如化學機械研磨(chemical mechanical polish,CMP)、回蝕(etch-back)製程等或其組合。平坦化製程暴露鰭片52,使得鰭片52的頂表面與絕緣材料54在平坦化製程完成後是齊平的。在遮罩保留在鰭片52上的實施方式中,平坦化製程可能暴露遮罩或移除遮罩,分別使得遮罩或鰭片52的頂表面與絕緣材料54在平坦化製程完成後是齊平的。
在第6圖中,使絕緣材料54產生凹槽,以形成淺溝槽隔離(shallow trench isolation,STI)區56。絕緣材料54產生凹槽,使得在n型區域50N和p型區域50P中的鰭片52的上部部位從相鄰的淺溝槽隔離區56之間突出。此外,淺溝槽隔離區56的頂表面可能具有如圖所示的平坦表面、凸表面、凹表面(例如碟狀)或其組合。淺溝槽隔離區56的頂表面可能藉由適當的蝕刻形成為平坦的、凸的和/或凹的。可能使用容許的蝕刻製程使淺溝槽隔離區56凹陷,例如對絕緣材料54的材料有選擇性的蝕刻製程(例如以比蝕刻鰭片52的材料較快的速率蝕刻絕緣材料54的材料)。舉例來說,可以使用諸如稀釋氫氟(dilute hydrofluoric,dHF)酸的氧化物移除。
關於第2圖至第6圖所述的製程只是可能如何形成鰭片52的一個實施例。在一些實施方式中,可能藉由磊晶生長製程形成鰭片52。舉例來說,可以在基材50的頂表面上方形成介電層,並且可以蝕刻溝槽通過介電層,以暴露下層的基材50。同質磊晶結構可以在溝槽中磊晶地生長,並且可以使介電層產生凹槽,使得同質磊晶結構從介 電層突出,以形成鰭片52。此外,在一些實施方式中,可以將異質磊晶結構用於鰭片52。舉例來說,第5圖中的鰭片52可以是凹陷的,並且可能在凹陷的鰭片52上方磊晶地生長不同於鰭片52的材料。在這樣的實施方式中,鰭片52包括凹陷的材料與設置在凹陷的材料上方的磊晶生長材料。在更進一步的實施方式中,可以形成介電層在基材50的頂表面上方,並且可以蝕刻溝槽穿過介電層。接著可以使用不同於基材50的材料在溝槽中磊晶地生長異質磊晶結構,並且可以使介電層凹陷,使得異質磊晶結構從介電層突出,以形成鰭片52。在同質磊晶或異質磊晶結構磊晶地生長的一些實施方式中,磊晶生長材料可能在生長期間被原位摻雜,這可以避免排除之前和/或隨後的植入,儘管原位和植入摻雜可能一起使用。
更進一步,在n型區域50N(例如NMOS區域)中磊晶地生長不同於p型區域50P(例如PMOS區域)中之材料的材料可能是有利的。在各種實施方式中,鰭片52的上部部位可能由矽鍺(SixGe1-x,其中x可以在0到1的範圍內)、碳化矽、純鍺或實質上純的鍺、三五族化合物半導體、二六族化合物半導體等。舉例來說,可用於形成三五族化合物半導體的材料包括但不限於砷化銦、砷化鋁(aluminum arsenide)、砷化鎵、磷化銦、氮化鎵(gallium nitride)、砷化銦鎵(indium gallium arsenide)、砷化銦鋁(indium aluminum arsenide)、銻化鎵(gallium antimonide)、銻化鋁(aluminum antimonide)、磷化鋁(aluminum phosphide)、磷化鎵等。
進一步在第6圖中,可能在鰭片52和/或基材50中形成適當的井(未示出)。在一些實施方式中,可能在n型區域50N中形成P井,並且可能在p型區域50P中形成N井。在一些實施方式中,P井或N井形成在n型區域50N和p型區域50P兩者中。
在不同井類型的實施方式中,可能用光阻和/或其他遮罩(未示出)實現用於n型區域50N和p型區域50P的不同植入步驟。舉例來說,可能在n型區域50N中的鰭片52和淺溝槽隔離區56上方形成光阻。圖案化光阻以暴露基材50的p型區域50P。可以藉由使用旋塗技術形成光阻且可以使用容許的光刻技術圖案化光阻。一旦光阻被圖案化,就在p型區域50P中執行n型雜質植入,並且光阻可能用作遮罩以實質上地防止n型雜質被植入到n型區域50N中。n型雜質可能為磷(phosphorus)、砷(arsenic)、銻(antimony)等,植入該區域中至濃度等於或小於1018cm-3,例如在大約1016cm-3和大約1018cm-3之間。在植入之後,去除光阻,例如藉由容許的灰化製程。
在p型區域50P的植入之後,在p型區域50P中的鰭片52和淺溝槽隔離區56上方形成光阻。圖案化光阻以暴露基材50的n型區域50N。可以藉由使用旋塗技術形成光阻且可以使用容許的光刻技術圖案化光阻。一旦光 阻被圖案化,就在n型區域50N中執行p型雜質植入,並且光阻可能用作遮罩以實質上地防止p型雜質被植入到p型區域50P中。p型雜質可能為硼(boron)、氟化硼(boron fluoride)、銦(indium)等,植入該區域中至濃度等於或小於1018cm-3,例如在大約1016cm-3和大約1018cm-3之間。在植入之後,去除光阻,例如藉由容許的灰化製程。
在n型區域50N和p型區域50P的植入之後,可以執行退火以修復植入的損傷並活化已植入的p型和/或n型雜質。在一些實施方式中,磊晶鰭片52的生長材料可能在生長期間被原位摻雜,這可以排除植入,儘管原位和植入摻雜可以一起使用。
在第7圖中,虛設介電層60形成在鰭片52上。舉例來說,虛設介電層60可能為氧化矽、氮化矽或其組合等,並且可能根據容許的技術沉積或熱生長。虛設閘極層62形成在虛設介電層60上方,並且遮罩層64形成在虛設閘極層62上方。虛設閘極層62可能沉積在虛設介電層60上方並接著被平坦化(例如藉由CMP)。遮罩層64可能沉積在虛設閘極層62上方。虛設閘極層62可能為導電或非導電材料且可能選自一群組,包括非晶矽(amorphous silicon)、多晶矽(polycrystalline-silicon,polysilicon)、多晶矽鍺(poly-SiGe)、金屬氮化物(metallic nitride)、金屬矽化物(metallic silicide)、金屬氧化物 (metallic oxide)和金屬。虛設閘極層62可能藉由物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積(chemical vapor deposition,CVD)、濺鍍沉積(sputter deposition)或用於沉積選定材料的其他技術來沉積。虛設閘極層62可能由對隔離區(例如淺溝槽隔離區56和/或虛設介電層60)的蝕刻具有高蝕刻選擇性的其他材料製成。遮罩層64可能包括諸如氮化矽、氮氧化矽(silicon oxynitride)等的一或多層的。在這個實施例中,單個虛設閘極層62和單個遮罩層64跨越n型區域50N和p型區域50P形成。需強調的是,僅出於說明的目的,虛設介電層60被示為只覆蓋鰭片52。在一些實施方式中,可能沉積虛設介電層60,使得虛設介電層60覆蓋淺溝槽隔離區56,延伸至淺溝槽隔離區56上方以及虛設閘極層62與淺溝槽隔離區56之間。
第8A圖至第17圖、第19圖、第21圖至第24C圖以及第27A圖至第29B圖繪示了製造示例元件的各種附加步驟。第8A圖至第17圖、第19圖、第21圖至第24C圖以及第27A圖至第29B圖繪示n型區域50N和p型區域50P中之一者中的特徵。舉例來說,第8A圖至第17圖、第19圖、第21圖至第24C圖以及第27A圖至第29B圖中所繪示的結構可適用於n型區域50N和p型區域50P兩者。n型區域50N和p型區域50P的結構的差異(如果存在)在各圖所附的文字中描述。
在第8A圖和第8B圖中,可能使用容許的光刻和 蝕刻技術圖案化遮罩層64(請參見第7圖)以形成遮罩74。接著遮罩74的圖案可能轉移到虛設閘極層62。在一些實施方式中(未示出),遮罩74的圖案也可能藉由容許的蝕刻技術轉移到虛設介電層60,以形成虛設閘極72。虛設閘極72覆蓋鰭片52的各個通道區58。遮罩74的圖案可能用於將每個虛設閘極72與相鄰的虛設閘極物理上分離。虛設閘極72也可能具有實質上垂直於各個磊晶鰭片52之縱向的縱向。
進一步在第8A圖和第8B圖中,閘極封合間隔件80可以形成在虛設閘極72、遮罩74和/或鰭片52的暴露的表面上。可能使用熱氧化或沉積接著進行非等向性蝕刻形成閘極封合間隔件80。閘極封合間隔件80可能由氧化矽、氮化矽、氮氧化矽等形成。
在形成閘極封合間隔件80之後,可以執行用於輕摻雜源極/汲極(lightly doped source/drain,LDD)區域(未單獨示出)的植入。在不同元件類型的實施方式中,類似於上文在第6圖中論述的植入,可以在n型區域50N上方形成遮罩(例如光阻),同時暴露p型區域50P,並且可以植入適當類型(例如p型)的雜質至在p型區域50P中暴露的鰭片52中。接著可以去除遮罩。隨後,可以在p型區域50P上方形成遮罩(例如光阻),同時暴露n型區域50N,並且可以植入適當類型(例如n型)的雜質至在n型區域50N中暴露的鰭片52中。接著可以移除遮罩。n型雜質可能是前述的任何n型雜質,而p型雜質 可能是前述的任何p型雜質。輕摻雜源極/汲極區域可能具有從大約1015cm-3至大約1019cm-3的雜質濃度。可以使用退火來修復植入的損傷並活化已植入的雜質。
在第9A圖和第9B圖中,閘極間隔件86沿著虛設閘極72和遮罩74的側壁形成在閘極封合間隔件80上。可以藉由共形地沉積絕緣材料並隨後非等向性地蝕刻絕緣材料來形成閘極間隔件86。閘極間隔件86的絕緣材料可能是氧化矽、氮化矽、氮氧化矽、碳氮化矽或其組合等。
需強調的是,上述揭露通常描述形成間隔件和LDD區域的製程。可能使用其他製程或順序。舉例來說,可能使用較少的或附加的間隔件,可能使用不同順序的步驟(例如在形成閘極間隔件86之前可能不蝕刻閘極封合間隔件80,從而產生「L型」的閘極封合間隔件80),可能形成或移除間隔件等。此外,可能使用不同結構和步驟形成n型和p型元件。舉例來說,可能在形成閘極封合間隔件80之前形成用於n型元件的LDD區域,而用於p型元件的LDD區域可能在形成閘極封合間隔件80之後形成。
在第10A圖和第10B圖中,在鰭片52中形成磊晶源極/汲極區域82。在鰭片52中形成磊晶源極/汲極區域82使得每個虛設閘極72分別設置在磊晶源極/汲極區域82的相鄰的對之間。在一些實施方式中,磊晶源極/汲極區域82可能延伸到鰭片52中,也可能穿過鰭片52。在一些實施方式中,閘極間隔件86用於將磊晶源極/汲極 區域82與虛設閘極72分隔出適當的橫向距離,使得磊晶源極/汲極區域82不會與所得的FinFET隨後形成的閘極形成短路。可以選擇磊晶源極/汲極區域82的材料以在各個通道區58中施加應力,從而提高性能。
n型區域50N中的磊晶源極/汲極區域82可能藉由遮蔽p型區域50P和蝕刻在n型區域50N中的鰭片52的源極/汲極區域以在鰭片52中形成凹槽來形成。接著,n型區域50N中的磊晶源極/汲極區域82在凹槽中磊晶地生長。磊晶源極/汲極區域82可能包括任何容許的材料,例如適用於n型FinFET的材料。舉例來說,如果鰭片52是矽,n型區域50N中的磊晶源極/汲極區域82可能包括在通道區58中施加拉伸應變的材料,例如矽、碳化矽、摻雜磷的碳化矽(phosphorous doped silicon carbide)、磷化矽(silicon phosphide)等。n型區域50N中的磊晶源極/汲極區域82可能具有從鰭片52的各個表面凸起的表面且可能具有刻面。
p型區域50P中的磊晶源極/汲極區域82可能藉由遮蔽n型區域50N和蝕刻p型區域50P中的鰭片52的源極/汲極區域以在鰭片52中形成凹槽來形成。接著,p型區域50P中的磊晶源極/汲極區域82在凹槽中磊晶地生長。磊晶源極/汲極區域82可能包括任何容許的材料,例如適用於p型FinFET的材料。舉例來說,如果鰭片52是矽,p型區域50P中的磊晶源極/汲極區域82可能包括在通道區58中施加壓縮應變的材料,例如矽鍺、摻雜硼的 矽鍺(boron doped silicon-germanium)、鍺、鍺錫(germanium tin)等。p型區域50P中的磊晶源極/汲極區域82可能具有從鰭片52的各個表面凸起的表面且可能具有刻面。
磊晶源極/汲極區域82和/或鰭片52可能植入摻雜物以形成源極/汲極區域,類似於前述的用於形成輕摻雜源極/汲極區域的製程,接著退火。源極/汲極區域可能具有在大約1019cm-3和大約1021cm-3之間的雜質濃度。用於源極/汲極區域的n型和/或p型雜質可能為前述的任何雜質。在一些實施方式中,磊晶源極/汲極區域82可能在生長期間被原位摻雜。
由於用於在n型區域50N和p型區域50P中形成磊晶源極/汲極區域82的磊晶製程,磊晶源極/汲極區域82的上表面具有向外超出鰭片52的側壁橫向擴展的刻面。在一些實施方式中,這些刻面使相同的FinFET的相鄰的磊晶源極/汲極區域82合併,如第10C圖中所示。在另一些實施方式中,相鄰的源極/汲極區域82在磊晶製程完成後保持分離,如第10D圖中所示。在第10C圖和第10D圖中所繪示的實施方式中,形成閘極間隔件86,覆蓋在淺溝槽隔離區56上方延伸的鰭片52的側壁的一部位,從而阻斷磊晶生長。在另一些實施方式中,可能調整用於形成閘極間隔件86的間隔件蝕刻以移除間隔件材料以允許磊晶生長區域延伸到淺溝槽隔離區56的表面。
在第11A圖和第11B圖中,第一層間介電質 (interlayer dielectric,ILD)88沉積在第10A圖和第10B圖中所繪示的結構上方。第一ILD88可能由介電材料形成,並且可能藉由任何合適的方法,例如CVD、電漿增強型CVD(plasma-enhanced CVD,PECVD)或FCVD來沉積。介電材料可能包括磷矽酸鹽玻璃(phospho-silicate glass,PSG)、硼矽酸鹽玻璃(boro-silicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phospho-silicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)等。可能使用藉由任何容許的製程形成的其他絕緣材料。在一些實施方式中,接觸蝕刻停止層(contact etch stop layer,CESL)87設置在第一ILD88和磊晶源極/汲極區域82、遮罩74以及閘極間隔件86之間。CESL87可能包括介電材料,例如氮化矽、氧化矽、氮氧化矽等,具有比上覆的第一ILD88的材料低的蝕刻速率。
在第12A圖和第12B圖中,可能執行平坦化製程(例如CMP)以使第一ILD88的頂表面與虛設閘極72或遮罩74的頂表面齊平。平坦化製程也可能移除在虛設閘極72上的遮罩74以及沿著遮罩74的側壁的閘極封合間隔件80和閘極間隔件86的部位。在平坦化製程之後,虛設閘極72、閘極封合間隔件80、閘極間隔件86以及第一ILD88的頂表面是水平的。因此,虛設閘極72的頂表面通過第一ILD88暴露。在一些實施方式中,可以保留遮罩74,在這種情況下,平坦化製程使第一ILD88的頂表面 與遮罩74的頂表面齊平。
在第13A圖和第13B圖中,虛設閘極72和遮罩74(如果存在)在一或多個蝕刻步驟中被移除,使得凹槽90形成。凹槽90中的虛設介電層60的部位也可能被移除。在一些實施方式中,僅移除虛設閘極72,而虛設介電層60被保留且藉由凹槽90暴露。在一些實施方式中,虛設介電層60從晶粒的第一區域中的凹槽90移除(例如核心邏輯區域)並保留在晶粒的第二區域(例如輸入/輸出區域)中的凹槽90中。在一些實施方式中,藉由非等向性乾式蝕刻製程移除虛設閘極72。舉例來說,蝕刻製程可能包括使用反應氣體的乾式蝕刻製程,該反應氣體選擇性地蝕刻虛設閘極72,而很少或不蝕刻第一ILD88或閘極間隔件86。每個凹槽90暴露和/或上覆各個鰭片52的通道區58。每個通道區58設置在磊晶源極/汲極區域82的相鄰的對之間。在移除期間,虛設介電層60可能在蝕刻虛設閘極72時用作蝕刻停止層。接著可能在移除虛設閘極72之後可選地移除虛設介電層60。
在第14A圖和第14B圖中,形成閘極介電層92用於替換閘。第14C圖繪示了第14B圖的區域89的詳細視圖。閘極介電層92是沉積在凹槽90中的一層或多層,例如在鰭片52的頂表面和側壁上以及在閘極封合間隔件80/閘極間隔件86的側壁上。閘極介電層92也可能形成在第一ILD88的頂表面上。在一些實施方式中,閘極介電層92包括一或多個介電層,例如氧化矽、氮化矽、金屬氧 化物、金屬矽酸鹽或金屬矽酸鹽等的一層或多層。舉例來說,在一些實施方式中,閘極介電層92包括藉由熱或化學氧化形成的氧化矽的界面層和上覆的高k係數介電材料,例如鉿(hafnium)、鋁、鋯(zirconium)、鑭(lanthanum)、錳(manganese)、鋇(barium)、鈦(titanium)、鉛(lead)的金屬氧化物或矽酸鹽及其組合。閘極介電層92可能包括具有大於約7.0之k值的介電層。閘極介電層92的形成方法可能包括分子束沉積(molecular-beam deposition,MBD)、ALD、PECVD等。在保留虛設介電層60的部位在凹槽90中的實施方式中,閘極介電層92包括虛設介電層60的材料(例如二氧化矽)。
n型區域50N和p型區域50P中的閘極介電層92的形成可能同時發生,使得每個區域中的閘極介電層92由相同的材料形成。在一些實施方式中,每個區域中的閘極介電層92可能藉由不同的製程形成,使得n型區域50N和p型區域50P中的閘極介電層92可能是不同的材料。當使用不同的製程時,可以使用各種遮蔽步驟來遮蔽和暴露適當的區域。
第15圖至第24C圖分別繪示了閘電極94在閘極介電層92上方的形成(請參見下文,第24C圖),從而填充凹槽90的剩餘部位。第15圖以第14B圖的區域89的詳細視圖繪示了襯墊層94A在閘極介電層92上方的形成。襯墊層94A可能是擴散阻礙層、黏合層等或其組合。 襯墊層94A可能包括含金屬材料,例如氮化鈦(titanium nitride)、氧化鈦(titanium oxide)、氮化鉭(tantalum nitride)、碳化鉭(tantalum carbide)、它們的組合或它們的多層。儘管在第15圖中繪示出了單個襯墊層94A,但是閘電極94可能包括任意數量的襯墊層94A。
第16圖繪示了功函數調諧層94B在襯墊層94A上方的形成。功函數調諧層94B可用於將閘電極94的功函數(請參見下文,第24C圖)調整到矽或其他下層半導體材料的導帶邊緣。舉例來說,對於NMOS元件,可以將功函數調整到接近矽的導帶,而對於PMOS元件,可以將功函數調整到接近矽的價帶,以提高電晶體的性能。功函數調諧層94B可能包括含金屬材料,例如鈦、氮化鈦、氮化鈦鋁(titanium aluminum nitride)、氮化鉭、鉭鋁(tantalum aluminum)、碳化鉭鋁(tantalum aluminum carbide)、氮化鉭鋁(tantalum aluminum nitride)、碳化鉭、碳氮化鉭(tantalum carbonitride)、氮化鉭矽(tantalum silicon nitride)、釕(ruthenium)、鉬(molybdenum)、鋁、氮化鎢(tungsten nitride)、銀、錳、鋯、它們的組合或它們的多層。儘管在第16圖中繪示出了單個功函數調諧層94B,但是閘電極94可能包括任意數量的功函數調諧層94B。在一些實施方式中,功函數調諧層94B形成在閘極介電層92上方,並且襯墊層94A形成在功函數調諧層94B上方。
第17圖、第19圖以及第21圖至第23圖繪示了根據一些實施方式的第16圖的區域200的詳細視圖,示出了填充材料94C的形成(請參見下文,第22圖和第23圖)。填充材料94C由導電材料,諸如鎢、鈷(cobalt)、釕、鋁等或其組合,使用在沉積腔室(未示出)中的FinFET上執行的原子層沉積製程形成。原子層沉積製程包括使用包含硼的工作氣體的第一原子層沉積循環1000(請參見下文,第18圖)和使用包含矽的工作氣體的第二原子層沉積循環2000(請參見下文,第20圖)的交替循環。藉由在原子層沉積製程中包括第一原子層沉積循環1000和第二原子層沉積循環2000,填充材料94C可以實現較低的電阻和較好的凹槽90的間隙填充,從而提供改良的元件性能。
在第一原子層沉積循環1000和/或第二原子層沉積循環2000之前,可以在功函數調諧層94B上執行氣體預浸泡。氣體預浸泡可以為功函數調諧層94B暴露的表面在第一原子層沉積循環1000期間成核做準備。氣體預浸泡可以用包括矽的工作氣體(例如矽烷(silane,SiH4))或用包括硼的工作氣體(例如乙硼烷(diborane,B2H6))來執行。在一些實施方式中,以在200sccm至600sccm的範圍內的工作氣體的氣流執行氣體預浸泡。在一些實施方式中,氣體預浸泡執行的持續時間在5秒至50秒的範圍內。
第17圖繪示了填充材料94C的第一層204在基 材上(例如在功函數調諧層94B上)的形成(請參見下文,第22圖和第23圖),或者如果功函數調諧層94B不存在或功函數調諧層94B位於襯墊層94A之下(請參見上文,第16圖),則在襯墊層94A上形成。第18圖繪示了根據一些實施方式的第一原子層沉積循環1000的流程圖。在預浸泡之後,執行第一原子層沉積循環1000以在功函數調諧層94B上沉積第一層204。第一層204包括導電材料(例如鎢),並藉由一或多個第一原子層沉積循環1000形成。
第一原子層沉積循環1000的第一步驟1010藉由使包括導電材料(例如鎢)的第一工作氣體流入沉積腔室,使得第一工作氣體的分子附著到基材(例如功函數調諧層94B)上的反應位置來執行,其可以在基材上形成第一工作氣體分子的單分子層。在一些實施方式中,第一工作氣體包括六氟化鎢(tungsten hexafluoride,WF6)、五氯化鎢(tungsten pentachloride,WCl5)、六氯化鎢(tungsten hexachloride,WCl6)等或其組合。
在一些實施方式中,第一工作氣體以在30sccm至90sccm的範圍內的流速流入沉積腔室。在一些實施方式中,第一步驟1010執行的持續時間在1秒至5秒的範圍內。在一些實施方式中,第一步驟1010在壓力在3torr至10torr的範圍內的沉積腔室中執行。在一些實施方式中,第一步驟1010在250℃至350℃的範圍內的溫度下執行。然而,可以用任何合適的製程條件執行第一步驟 1010。
第一原子層沉積循環1000的第二步驟1020藉由用諸如氮氣(nitrogen,N2)、氬氣(argon,Ar)等或其組合的清除氣體清洗沉積腔室來執行。清除從沉積腔室中移除在先前步驟中使用的工作氣體,例如在第一步驟1010中使用的第一工作氣體。
第一原子層沉積循環1000的第三步驟1030藉由使包括硼的第二工作氣體,例如乙硼烷等,流入沉積腔室,使得第二工作氣體與在第一步驟1010中形成在基材(例如功函數調諧層94B)上的第一工作氣體的分子的單分子層反應來執行。在第一工作氣體是六氟化鎢且第二工作氣體是乙硼烷的一些實施方式中,反應可以由式(1)描述。
2WF6+B2H6→6HF+2W+2BF3 式(1)
在上述式(1)中,功函數調諧層94B上的六氟化鎢的單分子層與乙硼烷反應生成鎢,鎢可以作為單分子層保留在功函數調諧層94B上並形成第一層204的一部位(請參見第17圖)。也可以產生氟化氫(hydrogen fluoride,HF)與三氟化硼(boron trifluoride,BF3)氣體,隨後可對其進行清除。
在一些實施方式中,第二工作氣體以在25sccm至600sccm的範圍內的流速流入沉積腔室。在一些實施方式中,第三步驟1030在壓力在3torr至10torr的範圍內的沉積腔室中執行。在一些實施方式中,第三步驟 1030在250℃至350℃的範圍內的溫度下執行。在一些實施方式中,第三步驟1030執行的持續時間在1秒至5秒的範圍內。然而,可以用任何合適的製程條件執行第三步驟1030。
第一原子層沉積循環1000的第四步驟1040藉由用諸如上文關於第二步驟1020所述的清除氣體清洗沉積腔室來執行。清除從沉積腔室中移除在先前步驟中使用的工作氣體(例如在第三步驟1030中使用的第二工作氣體)和其他反應副產物(例如氟化氫與三氟化硼)。
應當理解,以上針對第一原子層沉積循環1000的製程條件列舉的特定參數範圍旨在用於說明目的的非限制性實施例。任何合適的製程條件都可以用於第一原子層沉積循環1000,即使這些條件在上文明確列舉的範圍之外。所有這些條件完全旨在包括在實施方式的範圍內。
在一些實施方式中,第一原子層沉積循環1000形成導電材料(例如鎢)的單個單分子層作為第一層204。在一些實施方式中,重複第一原子層沉積循環1000,例如3個到10個循環,以形成多於一單分子層的導電材料。可以重複第一原子層沉積循環1000直到第一層204達到期望的厚度,例如在2nm到10nm的範圍內的厚度。包含硼的第二工作氣體(例如乙硼烷)的分子與包含導電材料(例如六氟化鎢)的第一工作氣體的分子的反應可以具有比第一工作氣體與包含矽的工作氣體(例如矽烷)的反應較高的吉布斯自由能(Gibbs free energy)。這可能導 致形成的第一層204帶有具有非晶態相態結構與較光滑的表面的基底成核層,這可以提供較好的間隙填充能力。
儘管上文將第一原子層沉積循環1000描述為以第一步驟1010、第二步驟1020、第三步驟1030以及第四步驟1040的順序執行,但在一些實施方式中,第一原子層沉積循環1000的步驟以其他順序執行。作為第一個示例,第一原子層沉積循環1000以第三步驟1030、第四步驟1040、第一步驟1010以及第二步驟1020的順序執行。在第一個示例中,包含硼的第二工作氣體在包含鎢的第一工作氣體流入沉積腔室之前流入沉積腔室,並且在第二工作氣體與第一工作氣體流入沉積腔室的每個步驟之後分別清洗沉積腔室。作為第二個示例,第一原子層沉積循環1000以第二步驟1020、第一步驟1010、第四步驟1040以及第三步驟1030的順序執行。在第二個示例中,在第一工作氣體與第二工作氣體流入沉積腔室的每個步驟之前分別清洗沉積腔室,並且在包含硼的第二工作氣體流入沉積腔室之前,使包含鎢的第一工作氣體流入沉積腔室。作為第三個示例,第一原子層沉積循環1000以第四步驟1040、第三步驟1030、第二步驟1020以及第一步驟1010的順序執行。在第三個示例中,在第二工作氣體與第一工作氣體流入沉積腔室的每個步驟之前分別清洗沉積腔室,並且在包含鎢的第一工作氣體流入沉積腔室之前,使包含硼的第二工作氣體流入沉積腔室。
第19圖繪示在第一層204上形成填充材料94C 的第二層208(請參見下文,第22圖與第23圖)。第二層208包括導電材料(例如鎢),並藉由一或多個第二原子層沉積循環2000形成。第20圖繪示根據一些實施方式的第二原子層沉積循環2000的流程圖。
第二原子層沉積循環2000的第一步驟2010藉由使包括導電材料(例如鎢)的第三工作氣體流入沉積腔室,使得第三工作氣體的分子附著到基材(例如第一層204)上的反應位置來執行,其可以在基材上形成第三工作氣體分子的單分子層。在一些實施方式中,第三工作氣體與上文關於第一原子層沉積循環1000的第一步驟1010(請參見上文,第18圖)所述的第一工作氣體相同,例如六氟化鎢。
在一些實施方式中,第三工作氣體以在25sccm至600sccm的範圍內的流速流入沉積腔室。在一些實施方式中,第一步驟2010在壓力在3torr至10torr的範圍內的沉積腔室中執行。在一些實施方式中,第一步驟2010在250℃至350℃的範圍內的溫度下執行。在一些實施方式中,第一步驟2010執行的持續時間在1秒至5秒的範圍內。然而,可以用任何合適的製程條件執行第一步驟2010。
第二原子層沉積循環2000的第二步驟2020藉由用諸如上文關於第一原子層沉積循環1000的第二步驟1020(請參見上文,第18圖)所述的清除氣體清洗沉積腔室來執行。清除從沉積腔室中移除在先前步驟中使用的 工作氣體,例如在第一步驟2010中使用的第三工作氣體。
第二原子層沉積循環2000的第三步驟2030藉由使包括矽的第四工作氣體,例如矽烷、二矽烷(disilane,Si2H6)、三矽烷(trisilane,Si3H8)等或其組合,流入沉積腔室,使得第四工作氣體與在第一步驟2010中形成在基材(例如第一層204)上的第三工作氣體的分子的單分子層反應來執行。在第三工作氣體是六氟化鎢且第四工作氣體是矽烷的一些實施方式中,反應可以由式(2)描述。
2WF6+3SiH4→2W+6H2+3SiF4 式(2)
在上述式(2)中,第一層204上的六氟化鎢的單分子層與矽烷反應生成鎢,鎢可以作為單分子層保留在第一層204上並形成第二層208的一部位(請參見第19圖)。也可以產生氫氣(hydrogen,H2)與四氟化矽(silicon tetrafluoride,SiF4)氣體,隨後可對其進行清除。
在一些實施方式中,第四工作氣體以在25sccm至600sccm的範圍內的流速流入沉積腔室。在一些實施方式中,第三步驟2030在壓力在3torr至10torr的範圍內的沉積腔室中執行。在一些實施方式中,第三步驟2030在250℃至350℃的範圍內的溫度下執行。在一些實施方式中,第三步驟1030執行的持續時間在1秒至5秒的範圍內。然而,可以用任何合適的製程條件執行第三步驟2030。
第二原子層沉積循環2000的第四步驟2040藉由用諸如上文關於第一原子層沉積循環1000的第二步驟1020(請參見上文,第18圖)所述的清除氣體清洗沉積腔室來執行。清除從沉積腔室中移除在先前步驟中使用的工作氣體(例如在第三步驟2030中使用的第四工作氣體)和其他反應副產物(例如氫氣與四氟化矽氣體)。
應當理解,以上針對第二原子層沉積循環2000的製程條件列舉的特定參數範圍旨在用於說明目的的非限制性實施例。任何合適的製程條件都可以用於第二原子層沉積循環2000,即使這些條件在上文明確列舉的範圍之外。所有這些條件完全旨在包括在實施方式的範圍內。
在一些實施方式中,第二原子層沉積循環2000形成導電材料(例如鎢)的單個單分子層作為第二層208。在一些實施方式中,重複第二原子層沉積循環2000,例如2個到10個循環,以形成多於一單分子層的導電材料。可以重複第二原子層沉積循環2000直到第二層208達到期望的厚度,例如在2nm到10nm的範圍內的厚度。包含矽的第四工作氣體(例如矽烷)的分子與包含導電材料(例如六氟化鎢)的第三工作氣體的分子的反應可以具有比第三工作氣體與包含硼的工作氣體(例如乙硼烷)的反應較低的吉布斯自由能。這可能導致形成的第二層208帶有具有結晶態結構與較大金屬晶粒尺寸的基底成核層,這可以提供較低的電阻與較好的元件性能。
儘管上文將第二原子層沉積循環2000描述為以 第一步驟2010、第二步驟2020、第三步驟2030以及第四步驟2040的順序執行,但在一些實施方式中,第二原子層沉積循環2000的步驟以其他順序執行。作為第一個示例,第二原子層沉積循環2000以第三步驟2030、第四步驟2040、第一步驟2010以及第二步驟2020的順序執行。在第一個示例中,包含矽的第四工作氣體在包含鎢的第三工作氣體流入沉積腔室之前流入沉積腔室,並且在第四工作氣體與第三工作氣體流入沉積腔室的每個步驟之後分別清洗沉積腔室。作為第二個示例,第二原子層沉積循環2000以第二步驟2020、第一步驟2010、第四步驟2040以及第三步驟2030的順序執行。在第二個示例中,在第三工作氣體與第四工作氣體流入沉積腔室的每個步驟之前分別清洗沉積腔室,並且在包含矽的第四工作氣體流入沉積腔室之前,使包含鎢的第一工作氣體流入沉積腔室。作為第三個示例,第二原子層沉積循環2000以第四步驟2040、第三步驟2030、第二步驟2020以及第一步驟2010的順序執行。在第三個示例中,在第三工作氣體與第四工作氣體流入沉積腔室的每個步驟之前分別清洗沉積腔室,並且在包含鎢的第三工作氣體流入沉積腔室之前,使包含矽的第四工作氣體流入沉積腔室。
第21圖繪示出了在第二層208上形成填充材料94C的第三層212(請參見下文,第22圖與第23圖)。第三層212包括鎢,並且藉由一或多個附加的第一原子層沉積循環1000(如上文關於第18圖所述)形成。藉由在 可能具有較大金屬晶粒尺寸的第二層208上形成較光滑的第三層212,填充材料94C可能具有較光滑的表面與改良的間隙填充能力,同時由於第二層208的較大的鎢金屬晶粒尺寸,保持較低的電阻。
第22圖繪示出了在第三層212上形成填充材料94C的第四層216。第四層216包括鎢,並且藉由一或多個附加的第二原子層沉積循環2000(如上文關於第20圖所述)形成。藉由在較光滑的第三層212上形成具有較大的鎢金屬晶粒尺寸的第四層216,填充材料94C可能具有較低的電阻與改良的元件性能,同時由於第三層212的較光滑的表面而保持改良的間隙填充能力。
儘管在形成用於閘電極94的填充材料94C的上下文中描述了用於填充凹槽90的上述間隙填充製程,但是應當理解,本領域具有知識者將理解,提供上述示例僅用於說明目的,以進一步解釋本實施方式的應用。在一些實施方式中,上文關於第17圖至第22圖所述的一或多個製程的示例用於在諸如第一層204、第二層208、第三層212以及第四層216以任何合適的順序排列的交替層中,使用諸如鎢的導電材料填充任何合適的間隙、開口或凹槽,以提供較低的電阻與改良的間隙填充能力。
第23圖繪示出了填充材料94C的另一個實施方式,其中填充材料94C的層以與上文第22圖中所繪示的實施方式不同的順序形成。如第23圖中所示,第二層208形成在基材(例如功函數調諧層94B)上,第一層204形 成在第二層208上,第四層216形成在第一層204上,而第三層212形成在第四層216上。第23圖的實施方式可以使用與上文關於第17圖至第21圖針對第22圖的實施方式所述的類似方法與材料形成,惟不同之處在於首先執行一或多個第二原子層沉積循環2000,以在功函數調諧層94B上沉積第二層208,隨後執行一或多個第一原子層沉積循環1000,以在第二層208上沉積第一層204,執行一或多個額外的第二原子層沉積循環2000,以在第一層204上沉積第四層216,以及執行一或多個附加的第一原子層沉積循環1000,以在第四層216上沉積第三層212。
任何合適數量的第一原子層沉積循環1000與第二原子層沉積循環2000以任何合適的順序和用於每個第一原子層沉積循環1000與第二原子層沉積循環2000的任何合適的步驟序列都在所揭露的實施方式的範圍內。作為第一個示例,執行X個循環之第一原子層沉積循環1000,其中X可以是任何合適的循環數量(例如1到10個循環),執行X個循環之第二原子層沉積循環2000,並且重複原子層沉積製程直到形成具有合適厚度的填充材料94C。作為第二個示例,執行X個循環之第二原子層沉積循環2000,執行X個循環之第一原子層沉積循環1000,並且重複原子層沉積製程直到形成具有合適厚度的填充材料94C。作為第三個示例,執行X個循環之第一原子層沉積循環1000,執行X個循環之第二原子層沉積循環2000,執行X個循環之第一原子層沉積循環1000,並且重複原子層沉積製程 直到形成具有合適厚度的填充材料94C。作為第四個示例,執行X個循環之第二原子層沉積循環2000,執行X個循環之第一原子層沉積循環1000,執行X個循環之第二原子層沉積循環2000,並且重複原子層沉積製程直到形成具有合適厚度的填充材料94C。
在第24A圖和第24B圖中,凹槽90的剩餘體積由填充材料94C填充。第24C圖繪示出了第24B圖的區域89的詳細視圖。藉由上文關於第17圖至第23圖所述的一或多個製程的示例,凹槽90由填充材料94C填充,舉例來說,重複數次交替的第一原子層沉積循環1000和第二原子層沉積循環2000,以任何合適的順序形成諸如第一層204、第二層208、第三層212以及第四層216的鎢層。
第25A圖和第25B圖繪示了動態二次離子質譜(secondary ion mass spectrometry,SIMS)圖,分別顯示在閘電極94、閘極介電層92以及鰭片52的通道區58中存在硼原子以及矽原子(請參見上文,第24B圖)。第25A圖和第25B圖的x軸顯示閘電極94、閘極介電層92以及通道區58中的深度,0對應於閘極介電層92和通道區58之間的界面,而第25A圖和第25B圖的y軸以與動態二次離子質譜測量的相同對數尺度分別顯示硼和矽的計數強度。
第25A圖繪示了在填充材料94C形成(請參見上文,第17圖至第23圖)的期間,由於使用包含硼的工作 氣體(例如乙硼烷)而殘留的硼,在閘電極94中硼原子的存在。第25A圖進一步繪示了硼的密度從閘電極94到鰭片52降低了10到100倍。使用包含硼的工作氣體可能為閘電極94提供較光滑的表面和較好的間隙填充能力。第25B圖繪示了在填充材料94C形成(請參見上文,第17圖至第23圖)的期間,由於使用包含矽的工作氣體(例如矽烷)而殘留的矽,在閘電極94中矽原子的存在。第25B圖進一步繪示了矽的密度從閘電極94到鰭片52增加了100到1000倍,因為鰭片52可以由包含矽的基材50形成(請參見上文,第3圖)。使用包含矽的工作氣體可能為閘電極94提供較低的電阻和較好的元件性能。如此一來,第25A圖和第25B圖說明閘電極94具有比矽原子密度大的硼原子密度。
在一些實施方式中,如SIMS分析測量的,閘電極94具有在102強度計數至104強度計數範圍內的硼原子密度與在103強度計數至104強度計數範圍內的矽原子密度,這可能是有利的,因為使用包含硼的工作氣體與包含矽的工作氣體,導致較低的電阻以及較好的間隙填充。在一些實施方式中,閘電極94中的硼原子密度大於閘電極94中的矽原子密度。具有小於101強度計數的硼原子密度的閘電極94可能是不利的,因為會導致由大的鎢金屬晶粒引致的間隙填充缺陷,並且具有大於104強度計數的硼原子密度的閘電極94可能是不利的,因為會導致較高的電阻。具有小於103強度計數的矽原子密度的閘電極94可能是 不利的,因為導致較高的電阻,並且具有大於104強度計數的矽原子密度的閘電極94可能是不利的,因為會導致由大的鎢金屬晶粒引致的間隙填充缺陷。
第26圖繪示了根據一些實施方式的閘電極94的X射線繞射光譜,例如填充材料94C的鎢(請參見上文,第24C圖)顯示填充材料94C可能以非晶態相態為特徵。這可能是由於在填充材料94C的形成過程中使用的包含硼的工作氣體(例如乙硼烷)在填充材料94C的形成過程(請參見上文,第17圖至第23圖)中,提供了較光滑的表面並減少了由包含矽的工作氣體(例如矽烷)形成的刻面。由於由包含矽的工作氣體形成的較大的鎢金屬晶粒,強度可能存在低且平滑的尖峰,例如在檢測器角度2θ中大約40度,並且X射線繞射光譜的一般非晶態相態可能是由於由含硼的工作氣體形成的較光滑的表面。在一些實施方式中,填充材料94C的鎢的X射線繞射光譜沒有寬度在檢測器角度2θ中小於例如5度的尖峰。
在第27A圖至第27C圖中,在如第24A圖至第24C圖中所繪示的填充凹槽90之後,可以執行平坦化製程(例如CMP)以移除閘極介電層92與閘電極94的材料的過剩的部位,這些過剩的部位位於第一ILD88的頂表面上方。因此,閘極介電層92與閘電極94的材料的剩餘部位形成所得的FinFET的替換閘。閘電極94和閘極介電層92可以統稱為「閘極堆疊(gate stack)」。閘極和閘極堆疊可以沿著鰭片52的通道區58的側壁延伸。
閘電極94的形成可以同時發生在n型區域50N以及p型區域50P中,使得每個區域中的閘電極94由相同的材料形成。在一些實施方式中,每個區域中的閘電極94可以藉由不同的製程形成,使得閘電極94可以是不同的材料。當使用不同的製程時,可以使用各種遮蔽步驟來遮蔽以及暴露適當的區域。
在第28A圖和第28B圖中,閘遮罩96形成在閘極堆疊(包括閘極介電層92和對應的閘電極94)上方,並且閘遮罩96可以設置在閘極間隔件86的相對部位之間。在一些實施方式中,例如,形成閘遮罩96包括使閘極堆疊凹陷,使得凹陷直接形成在閘極堆疊上方和閘極間隔件86的相對部位之間。包括一或多層介電材料(例如氮化矽、氮氧化矽等)的閘遮罩96填充在凹槽90中,隨後藉由平坦化製程移除在第一ILD88上方延伸的介電材料的過剩的部位。閘遮罩96是可選的並且在一些實施方式中可能省略。在這樣的實施方式中,閘極堆疊可以保持與第一ILD88的頂表面齊平。
此外,如第28A圖和第28B圖中所示,第二ILD108沉積在第一ILD88上方。在一些實施方式中,第二ILD108是藉由可流動CVD方法形成的可流動膜。在一些實施方式中,第二ILD108由介電材料(例如PSG、BSG、BPSG、USG等)形成,並且可能藉由任何合適的方法(例如CVD、PECVD等)沉積。隨後形成的閘極接點110(第29A圖和第29B圖)穿透第二ILD108和閘 遮罩96(如果存在)以接觸凹陷閘電極94的頂表面。
在第29A圖和第29B圖中,根據一些實施方式,閘極接點110和源極/汲極接點112通過第二ILD108和第一ILD88形成。用於源極/汲極接點112的開口通過第一ILD88和第二ILD108形成,而用於閘極接點110的開口通過第二ILD108和閘遮罩96(如果存在)形成。可以使用容許的光刻和蝕刻技術來形成開口。諸如擴散阻礙層、黏合層等的襯墊(未示出)和導電材料形成在開口中。襯墊可能包括鈦、氮化鈦、鉭、氮化鉭等。導電材料可能是銅、銅合金、銀、金、鎢、鈷、鋁、鎳等。可能執行諸如CMP的平坦化製程以從第二ILD108的表面移除過剩的材料。剩餘的襯墊和導電材料在開口中形成源極/汲極接點112和閘極接點110。可以執行退火製程以在磊晶源極/汲極區域82和源極/汲極接點112之間的界面形成矽化物。源極/汲極接點112物理耦合與電性耦合到磊晶源極/汲極區域82,並且閘極接點110物理耦合與電性耦合到閘電極94。源極/汲極接點112和閘極接點110可能在不同的製程中形成,或者可能在相同的製程中形成。儘管示出為形成在相同剖面中,但應當理解,源極/汲極接點112和閘極接點110中的每一個可以形成在不同的剖面中,這可以避免接點短路。
所揭露的FinFET實施方式還可以應用於奈米結構元件,例如奈米結構(例如奈米片、奈米線、環繞閘極等)場效應電晶體(NSFET)。在一個NSFET的實施方 式中,鰭片被奈米結構替代,奈米結構藉由圖案化交替的通道層和犧牲層的堆疊而形成。以類似於上述實施方式的方式形成虛設閘極堆疊和源極/汲極區域。在移除虛設閘極堆疊之後,在通道區中可以部分或完全地移除犧牲層。以類似於上述實施方式的方式形成替換閘結構,替換閘結構可能部分或完全地填充藉由移除犧牲層留下的開口,並且替換閘結構可能部分或完全地圍繞NSFET元件的通道區中的通道層。可以以類似於上述實施方式的方式形成替換閘結構與源極/汲極區域的ILD和接點。可以如美國專利申請公開號No.2016/0365414中所揭露的方式形成奈米結構元件,並以全文引用的方式併入本文。
實施方式具有若干優點。使用原子層沉積製程以諸如鎢的導電材料填充開口。原子層沉積製程包括使包含鎢的工作氣體與包含矽的工作氣體反應與使包含鎢的工作氣體與包含硼的工作氣體反應的交替循環。包括包含矽的工作氣體的原子層沉積循環產生用於具有較低電阻的鎢膜的基底成核層,從而提供改良的元件性能,並且包括包含硼的工作氣體的原子層沉積循環產生用於具有較光滑的表面與較好的間隙填補能力的鎢膜的基底成核層。
根據一個實施方式,一種形成半導體元件之方法包括:利用第一沉積循環形成第一層於沉積腔室中之基材上方,第一沉積循環包括:使第一工作氣體流過基材上方,第一工作氣體包含鎢;使第二工作氣體流過基材上方,第二工作氣體包含硼;以及利用第二沉積循環形成第二層於 沉積腔室中之基材上方,第二沉積循環包括:使第三工作氣體流過基材上方,第三工作氣體包含鎢;以及使第四工作氣體流過基材上方,第四工作氣體包含矽。在一個實施方式中,第一層形成於基材上,並且第二層形成於第一層上。在一個實施方式中,第二層形成於基材上,並且第一層形成於第二層上。在一個實施方式中,第一工作氣體與第三工作氣體是六氟化鎢。在一個實施方式中,第二工作氣體是乙硼烷。在一個實施方式中,第四工作氣體是矽烷。在一個實施方式中,方法進一步包括第一層與第二層形成閘電極的填充材料的部分。在一個實施方式中,填充材料具有非晶態相態。在一個實施方式中,第一層與第二層的X射線繞射光譜沒有寬度在檢測器角度2θ中小於5度的尖峰。
根據另一個實施方式,一種形成半導體元件之方法包括:沉積虛設閘極於半導體鰭片上方;形成延伸自半導體鰭片之頂表面之源極/汲極區域,源極/汲極區域與虛設閘極相鄰;形成介電層於源極/汲極區域上方,介電層鄰近虛設閘極;移除虛設閘極,其中移除虛設閘極形成凹槽延伸穿過介電層;形成閘極介電層於凹槽中;以閘電極填充凹槽,填充凹槽包括:藉由執行第一原子層沉積循環在凹槽中沉積第一鎢層,第一原子層沉積循環使用六氟化鎢與矽烷作為前驅物來執行;藉由執行第二原子層沉積循環在凹槽中沉積第二鎢層,第二原子層沉積循環使用六氟化鎢與乙硼烷作為前驅物來執行;移除閘極介電層與閘電極的 過剩部位。在一個實施方式中,填充凹槽包括在執行第一原子層沉積循環與第二原子層沉積循環之前執行氣體預浸泡。在一個實施方式中,使用乙硼烷或矽烷執行氣態預浸泡。在一個實施方式中,第一原子層沉積循環進一步包括:使六氟化鎢流入沉積腔室;以氮氣執行沉積腔室之第一清除;使矽烷流入沉積腔室;以氮氣執行沉積腔室之第二清除。在一個實施方式中,在使矽烷流入沉積腔室之前,執行使六氟化鎢流入沉積腔室中。在一個實施方式中,在使矽烷流入沉積腔室之後,執行使六氟化鎢流入沉積腔室中。在一個實施方式中,在使六氟化鎢流入沉積腔室之後執行第一清除,並且在使矽烷流入沉積腔室之後執行第二清除。在一個實施方式中,在使六氟化鎢流入沉積腔室之前執行第一清除,並且在使矽烷流入沉積腔室之前執行第二清除。在一個實施方式中,閘電極包括硼與矽。
根據又另一個實施方式,一種半導體元件包括:從基材延伸的半導體鰭片;半導體鰭片上的源極/汲極區域;半導體鰭片的通道區上的閘極堆疊,閘極堆疊與源極/汲極區域相鄰,閘極堆疊包括:半導體鰭片上的閘極介電層;以及閘極介電層上的閘電極,其中閘電極的X射線繞射光譜沒有寬度在檢測器角度2θ中小於5度的尖峰。在一個實施方式中,閘電極具有第一密度的硼原子,閘電極具有第二密度的矽原子,並且第一密度大於第二密度。
前述概述了幾個實施方式或實施例的特徵,以便本領域具有知識者可更能理解本揭露的各方面。本領域具有 知識者應當理解,他們可以容易地將本揭露作為設計或修改其他製程和結構的基礎,以實現與本文介紹的實施方式或實施例相同的目的和/或實現相同的優點。本領域具有知識者還應該認識到,這樣的均等構造不脫離本揭露的精神和範圍,並且在不脫離本揭露的精神和範圍的情況下,他們可以在這裡進行各種改變、替換以及變更。
50:基材
52:鰭片
58:通道區
82:磊晶源極/汲極區域
88:第一ILD
92:閘極介電層
94:閘電極
108:第二ILD
110:閘極接點
112:源極/汲極接點

Claims (10)

  1. 一種形成半導體元件之方法,該方法包含:沉積一虛設閘極於一半導體鰭片上方;形成延伸自該半導體鰭片之一頂表面之一源極/汲極區域,該源極/汲極區域與該虛設閘極相鄰;形成一介電層於該源極/汲極區域上方,該介電層鄰近該虛設閘極;移除該虛設閘極,其中移除該虛設閘極形成一凹槽延伸穿過該介電層;形成一閘極介電層於該凹槽中;以一閘電極填充該凹槽,其中填充該凹槽包含:利用一第一沉積循環形成一第一層於該凹槽中,該第一沉積循環包含:使一第一工作氣體流過該凹槽,該第一工作氣體包含鎢;以及使一第二工作氣體流過該凹槽,該第二工作氣體包含硼;以及利用一第二沉積循環形成一第二層於該凹槽中,該第二沉積循環包含:使一第三工作氣體流過該凹槽,該第三工作氣體包含鎢;以及使一第四工作氣體流過該凹槽,該第四工作氣體包含矽。
  2. 如請求項1所述之方法,其中該第二層形成於該第一層上。
  3. 如請求項1所述之方法,其中該第一層形成於該第二層上。
  4. 如請求項1所述之方法,其中該第一層與該第二層的一X射線繞射光譜沒有寬度在一檢測器角度2θ中小於5度的尖峰。
  5. 一種形成半導體元件之方法,該方法包含:沉積一虛設閘極於一半導體鰭片上方;形成延伸自該半導體鰭片之一頂表面之一源極/汲極區域,該源極/汲極區域與該虛設閘極相鄰;形成一介電層於該源極/汲極區域上方,該介電層鄰近該虛設閘極;移除該虛設閘極,其中移除該虛設閘極形成一凹槽延伸穿過該介電層;形成一閘極介電層於該凹槽中;以一閘電極填充該凹槽,該填充該凹槽包含:藉由執行一第一原子層沉積循環於該凹槽中沉積一第一鎢層,該第一原子層沉積循環使用六氟化鎢與矽烷作為前驅物來執行;以及藉由執行一第二原子層沉積循環於該凹槽中沉積 一第二鎢層,該第二原子層沉積循環使用六氟化鎢與乙硼烷作為前驅物來執行;以及移除該閘極介電層與該閘電極之複數個過剩部位。
  6. 如請求項5所述之方法,其中填充該凹槽包含在執行該第一原子層沉積循環與該第二原子層沉積循環之前,執行一氣體預浸泡。
  7. 如請求項5所述之方法,其中該第一原子層沉積循環進一步包含:使該六氟化鎢流入一沉積腔室;以氮氣執行該沉積腔室之一第一清除;使該矽烷流入該沉積腔室;以及以氮氣執行該沉積腔室之一第二清除。
  8. 如請求項5所述之方法,其中該閘電極包含硼與矽。
  9. 一種半導體元件,包含:一半導體鰭片,延伸自一基材;一源極/汲極區域,位於該半導體鰭片上;以及一閘極堆疊,位於該半導體鰭片之一通道區上,該閘極堆疊與該源極/汲極區域相鄰,該閘極堆疊包含:一閘極介電層,位於該半導體鰭片上;以及 一閘電極,位於該閘極介電層上,其中該閘電極的一X射線繞射光譜沒有寬度在一檢測器角度2θ中小於5度的尖峰。
  10. 如請求項9所述之半導體元件,其中該閘電極具有一第一密度的硼原子,該閘電極具有一第二密度的矽原子,並且該第一密度大於該第二密度。
TW111125427A 2021-07-09 2022-07-06 半導體元件及其形成方法 TWI825835B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163219921P 2021-07-09 2021-07-09
US63/219,921 2021-07-09
US17/704,435 US20230008315A1 (en) 2021-07-09 2022-03-25 Conductive Features of Semiconductor Devices and Methods of Forming the Same
US17/704,435 2022-03-25

Publications (2)

Publication Number Publication Date
TW202305955A TW202305955A (zh) 2023-02-01
TWI825835B true TWI825835B (zh) 2023-12-11

Family

ID=83822245

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111125427A TWI825835B (zh) 2021-07-09 2022-07-06 半導體元件及其形成方法

Country Status (3)

Country Link
US (1) US20230008315A1 (zh)
CN (1) CN115287629B (zh)
TW (1) TWI825835B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170283942A1 (en) * 2016-04-04 2017-10-05 Tokyo Electron Limited Tungsten film forming method and storage medium
TW201818458A (zh) * 2016-08-16 2018-05-16 美商蘭姆研究公司 金屬填充程序中線彎曲之防止方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100935719B1 (ko) * 2007-04-12 2010-01-08 주식회사 하이닉스반도체 반도체 소자의 듀얼 게이트 형성방법
US9059142B2 (en) * 2012-07-23 2015-06-16 Nanya Technology Corporation Semiconductor device having vertical gates and fabrication thereof
KR102291990B1 (ko) * 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
US9230863B2 (en) * 2014-02-11 2016-01-05 GlobalFoundries, Inc. Method for producing integrated circuit with smaller grains of tungsten
JP2015177006A (ja) * 2014-03-14 2015-10-05 株式会社東芝 半導体装置及びその製造方法
CN105336784B (zh) * 2014-08-13 2019-01-18 中国科学院微电子研究所 半导体器件及其制造方法
CN107026113B (zh) * 2016-02-02 2020-03-31 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法和系统
CN107039271B (zh) * 2016-02-03 2020-05-08 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US9991362B2 (en) * 2016-09-30 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including tungsten gate and manufacturing method thereof
US10497811B2 (en) * 2016-12-15 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10854459B2 (en) * 2017-09-28 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure passivating species drive-in method and structure formed thereby
US10418453B2 (en) * 2017-11-22 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
US10867848B2 (en) * 2018-04-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10868137B2 (en) * 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11211470B2 (en) * 2019-10-18 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170283942A1 (en) * 2016-04-04 2017-10-05 Tokyo Electron Limited Tungsten film forming method and storage medium
TW201818458A (zh) * 2016-08-16 2018-05-16 美商蘭姆研究公司 金屬填充程序中線彎曲之防止方法

Also Published As

Publication number Publication date
CN115287629A (zh) 2022-11-04
US20230008315A1 (en) 2023-01-12
CN115287629B (zh) 2024-02-13
TW202305955A (zh) 2023-02-01

Similar Documents

Publication Publication Date Title
TWI725588B (zh) 半導體裝置的形成方法及半導體裝置
US10115639B2 (en) FinFET device and method of forming the same
TWI707477B (zh) 半導體裝置及其製造方法
US11682711B2 (en) Semiconductor device having multi-layered gate spacers
TWI792061B (zh) 半導體裝置及其形成方法
TW202133327A (zh) 半導體裝置
US11728223B2 (en) Semiconductor device and methods of manufacture
TW202109885A (zh) 半導體裝置
TWI807431B (zh) 半導體結構及其製造方法
TWI767509B (zh) 半導體裝置的製造方法
CN218498075U (zh) 半导体装置
TW202101599A (zh) 半導體裝置之形成方法
US11710777B2 (en) Semiconductor device and method for manufacture
CN115527944A (zh) 制造半导体元件的方法
TWI825835B (zh) 半導體元件及其形成方法
CN113113408A (zh) 半导体装置
TWI789779B (zh) 電晶體及形成源極/汲極區域的方法
TWI844100B (zh) 半導體裝置的形成方法
TWI836346B (zh) 半導體裝置和其形成方法
TWI760052B (zh) 形成閘電極的方法、半導體裝置及製造半導體裝置的方法
CN219457627U (zh) 半导体装置
US20230019633A1 (en) Semiconductor method and device
KR102447135B1 (ko) 트랜지스터 내의 규화물 구조물 및 형성하는 방법
TWI832437B (zh) 半導體裝置及其形成方法
US20230268416A1 (en) Semiconductor Devices and Methods of Manufacture