TWI707477B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI707477B
TWI707477B TW108125351A TW108125351A TWI707477B TW I707477 B TWI707477 B TW I707477B TW 108125351 A TW108125351 A TW 108125351A TW 108125351 A TW108125351 A TW 108125351A TW I707477 B TWI707477 B TW I707477B
Authority
TW
Taiwan
Prior art keywords
dummy
dielectric layer
gate
dummy gate
layer
Prior art date
Application number
TW108125351A
Other languages
English (en)
Other versions
TW202008597A (zh
Inventor
余德偉
趙晟博
鄧運楨
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202008597A publication Critical patent/TW202008597A/zh
Application granted granted Critical
Publication of TWI707477B publication Critical patent/TWI707477B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02645Seed materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

揭露半導體裝置的形成方法及透過此方法形成的半導體裝置。在一實施例中,此方法包含在從基底延伸出的鰭上沉積虛設介電層;在虛設介電層上沉積虛設閘極晶種層;將虛設閘極晶種層回流;蝕刻虛設閘極晶種層;以及在虛設閘極晶種層上方選擇性地沉積虛設閘極材料,虛設閘極材料和虛設閘極晶種層構成虛設閘極。

Description

半導體裝置及其製造方法
本發明實施例係有關於半導體技術,且特別是有關於半導體裝置及其製造方法。
半導體裝置用於各式各樣的電子應用中,例如個人電腦、手機、數位相機和其他電子設備。半導體裝置一般透過在半導體基底上依序地沉積絕緣層或介電層、導電層和半導體層材料,並使用微影技術將各種材料層圖案化,以形成電路組件和元件於其上。
半導體工業透過持續降低最小部件(feature)的尺寸,持續改善各種電子組件(例如電晶體、二極體、電阻、電容等)的集成密度,使得更多的組件集成於既定面積中。然而,當降低最小部件的尺寸,出現了應解決的附加問題。
在一些實施例中,提供半導體裝置的製造方法,此方法包含在從基底延伸出的鰭上沉積虛設介電層;在虛設介電層上沉積虛設閘極晶種層;將虛設閘極晶種層回流;蝕刻虛設閘極晶種層;以及在虛設閘極晶種層上方選擇性地沉積虛設閘極材料,其中虛設閘極材料和虛設閘極晶種層構成虛設閘極。
在一些其他實施例中,提供半導體裝置的製造方法,此方法包含在從基底延伸出的鰭上沉積虛設介電層;在虛設介電層上沉積第一虛設閘極材料;將第一虛設閘極材料回流;蝕刻第一虛設閘極材料和虛設介電層,其中蝕刻虛設介電層之後,形成具有末端基的虛設介電層;以及在第一虛設閘極材料上方沉積第二虛設閘極材料以形成虛設閘極,其中第二虛設閘極材料選擇性地沉積於第一虛設閘極材料上。
在另外一些實施例中,提供半導體裝置,半導體裝置包含閘極堆疊,位於半導體基底上方;閘極間隙壁,設置於閘極堆疊的側壁上;以及介電層,設置於半導體基底與閘極間隙壁之間,介電層包含鹵素末端表面。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
各種實施例提供用於形成改善的虛設(dummy)閘極的製程。舉例來說,虛設閘極晶種層可沉積於鰭上方。虛設閘極晶種層可從鰭上方回流(reflow)至與鰭相鄰的溝槽中。可透過鹵素氣體蝕刻(halogen-gas etch)來蝕刻虛設閘極晶種層。鹵素氣體蝕刻也可作用於設置於鰭上的虛設介電層的暴露表面部分,虛設介電層在鰭與虛設閘極晶種層之間。可在虛設閘極晶種層和虛設介電層上方進行額外的沉積製程。額外的沉積製程可選擇性地沉積材料於虛設閘極晶種層上。在一些實施例中,額外的沉積製程可以沉積材料於虛設閘極晶種層上的速率高於沉積材料於虛設介電層上的速率。接著,可將最終的結構平坦化,以形成虛設閘極。
進行虛設閘極的由下而上沉積防止鰭彎曲,且更防止虛設閘極中形成接縫或孔隙,因此改善了裝置產率並減少裝置失效。
第1圖顯示依據一些實施例之鰭式場效電晶體的範例的三維視圖。鰭式場效電晶體包括在基底50(例如半導體基底)上的鰭58。隔離區56設置於基底50中,且鰭58突出於相鄰的隔離區56之上。雖然分別描述和顯示的隔離區56與基底50,但是本文所用的術語“基底”可單指半導體基底或包含隔離區56的半導體基底。閘極介電層92係沿鰭58的側壁和頂表面,而閘極電極94在閘極介電層92上方。磊晶源極/汲極區82設置於鰭58相對於閘極介電層92和閘極電極94的兩側上。第1圖更顯示用於之後圖式的參考剖面。剖面A-A為沿閘極電極94的縱軸且在例如垂直於鰭式場效電晶體的磊晶源極/汲極區82之間的電流方向的方向。剖面B-B垂直於剖面A-A且沿鰭58的縱軸,並在例如鰭式場效電晶體的磊晶源極/汲極區82之間的電流方向的方向。剖面C-C平行於剖面A-A,並延伸通過鰭式場效電晶體的磊晶源極/汲極區82。為了清楚起見,後續圖式參考這些參考剖面。
在使用閘極後製(gate-last)製程形成的鰭式場效電晶體的背景下討論本文描述的一些實施例。在其他實施例中,可使用閘極先製(gate-first)製程。再者,一些實施例考慮了在平面裝置中使用的方面,例如平面場效電晶體。
第2-23圖為依據一些實施例之製造鰭式場效電晶體的中間階段的剖面示意圖。第2-13圖顯示第1圖中的參考剖面A-A,除了第2-13圖有多個鰭/鰭式場效電晶體。在第14A-22B圖中,以“A”標記結尾的圖式沿著第1圖中的參考剖面A-A顯示,而以“B”標記結尾的圖式沿著第1圖中的相似參考剖面B-B顯示,除了第14A-22B圖有多個鰭/鰭式場效電晶體。第16C和16D圖顯示沿第1圖的參考剖面C-C,除了第16C和16D圖有多個鰭/鰭式場效電晶體。第23圖顯示第1圖中的參考剖面B-B,除了第23圖有多個閘極/鰭式場效電晶體。
在第2圖中,提中基底50。基底50可為半導體基底,例如塊狀(bulk)半導體、絕緣層上覆半導體(semiconductor-on-insulator,SOI)基底或類似物,基底50可為摻雜(例如摻雜p型或n型摻雜物)或未摻雜。基底50可為晶圓,例如矽晶圓。一般來說,絕緣層上覆半導體基底為形成於絕緣層上的半導體材料層。絕緣層可為例如埋置氧化(buried oxide,BOX)層、氧化矽層或類似物。絕緣層提供於基底上,一般為矽基底或玻璃基底。也可使用其他基底,例如多層或梯度(gradient)基底。在一些實施例中,基底50的半導體材料可包含矽、鍺、化合物半導體(包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP)或前述之組合。
基底50具有第一區50A和第二區50B。第一區50A可用於形成n型裝置,例如N型金屬氧化物半導體(n-type metal oxide semiconductor,NMOS)電晶體(例如n型鰭式場效電晶體)。第二區50B可用於形成p型裝置,例如P型金屬氧化物半導體(p-type metal oxide semiconductor,PMOS)電晶體(例如p型鰭式場效電晶體)。第一區50A可與第二區50B物理隔開(透過分隔線51),且任何數量的裝置部件(例如其他主動裝置、摻雜區、隔離結構等)可設置於第一區50A與第二區50B之間。在一些實施例中,第一區50A和第二區50B皆用於形成相同型的裝置,例如兩區域皆用於n型裝置或p型裝置。
在第3圖中,鰭52形成於基底50中。鰭52為半導體條帶(strip)。在一些實施例中,鰭52可透過在基底50中蝕刻溝槽來形成於基底50中。蝕刻可為任何合適的蝕刻製程,例如反應性離子蝕刻(reactive ion etch,RIE)、中子束蝕刻(neutral beam etch,NBE)、類似方法或前述之組合。此蝕刻可為非等向性。請注意,雖然顯示的鰭52具有線性邊緣,但是鰭52可為圓頭或具有其他合適的形狀。鰭52可具有鰭到鰭的間距在約5nm與約50nm之間,例如約20nm。然而,在一些實施例中,鰭52可具有鰭到鰭的間距大於約50nm或小於約5nm。
在第4圖中,絕緣材料54形成於基底50上方以及相鄰鰭52之間。絕緣材料54可為氧化物(例如氧化矽)、氮化物、類似物或前述之組合,且可透過高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、可流動化學氣相沉積(flowable CVD,FCVD)(例如在遠端電漿系統中的基於化學氣相沉積的材料沉積,並後固化使其轉變為另一材料,例如氧化物)、類似方法或前述之組合形成。可使用透過任何合適的製程形成的其他絕緣材料。在顯示的實施例中,絕緣材料54為透過可流動化學氣相沉積製程形成的氧化矽。在形成絕緣材料之後,可進行退火製程。在一實施例中,形成絕緣材料54,使得多餘的絕緣材料覆蓋鰭52。
在第5圖中,將平坦化製程應用至絕緣材料54。在一些實施例中,平坦化製程包含化學機械研磨(chemical mechanical polish,CMP)、回蝕刻製程、前述之組合或類似方法。平坦化製程暴露出鰭52。在完成平坦化製程之後,鰭52的頂表面和絕緣材料54的頂表面齊平。
在第6圖中,將絕緣材料54凹陷,以形成隔離區56(有時也被稱為淺溝槽隔離(Shallow Trench Isolation,STI)區)。將絕緣材料54凹陷,使得在第一區50A和第二區50B中的鰭58從相鄰的隔離區56之間突出。再者,隔離區56的頂表面可具有如圖所示的平坦表面、凸面、凹面(例如凹陷)或前述之組合。隔離區56的頂表面可透過合適的蝕刻形成平坦、凸形及/或凹形。隔離區56可透過使用合適的蝕刻製程凹陷,例如對絕緣材料54的材料有選擇性的蝕刻製程。舉例來說,使用無電漿氣體蝕刻製程(例如使用氟化氫(hydrogen fluoride,HF)氣體、氨(NH3 )氣體或類似物的蝕刻製程)的化學氧化物移除、遠端電漿輔助乾蝕刻製程(例如使用氫(H2 )、三氟化氮(NF3 )和氨副產物或類似物),或可使用稀釋氫氟酸(dilute hydrofluoric,dHF)。
本發明所屬技術領域中具通常知識者將容易理解關於第2-6圖所描述的製程僅為可如何形成鰭58的一範例。在一些實施例中,介電層可形成於基底50的頂表面上方;可蝕刻溝槽穿透介電層;同質磊晶結構可磊晶成長於溝槽中;以及可將介電層凹陷,使得同質磊晶結構從介電層突出,以形成鰭58。在一些實施例中,異質磊晶結構可用於鰭52。舉例來說,可將第5圖中的鰭52凹陷,並在凹陷處磊晶成長不同於鰭52的材料。在另一實施例中,介電層可形成於基底50的頂表面上方;可蝕刻溝槽穿透介電層;異質磊晶結構可透過使用不同於基底50的材料磊晶成長於溝槽中;以及將介電層凹陷,使得異質磊晶結構從介電層突出,以形成鰭58。在磊晶成長同質磊晶或異質磊晶結構的一些實施例中,磊晶成長材料可在成長期間原位(in situ)摻雜,其可免除之前或後續的佈植,但是可一起使用原位摻雜和佈植摻雜。再者,在N型金屬氧化物半導體區域中磊晶成長不同於在P型金屬氧化物半導體區域可為有利的。在各種實施例中,鰭58可由矽鍺(Six Ge1-x ,其中x可在0至1的範圍中)、碳化矽、純鍺或大致純鍺、第III-V族化合物半導體、第II-VI族化合物半導體或類似物形成。舉例來說,可用於形成第III-V族化合物半導體的材料包含InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP和類似物,但不限於此。
在其他實施例中,合適的井區(未顯示)可形成於鰭58、鰭52及/或基底50中。在一些實施例中,P型井可形成於第一區50A中,且N型井可形成於第二區50B中。在一些實施例中,P型井或N型井可皆形成於第一區50A和第二區50B中。
在有著不同井區類型的實施例中,可透過使用光阻或其他遮罩(未個別顯示)來達成用於第一區50A和第二區50B的不同佈植步驟。舉例來說,光阻可形成於第一區50A和第二區50B中的鰭58和隔離區56上方。將光阻圖案化以暴露出基底50的第二區50B(例如P型金屬氧化物半導體區域)。光阻可透過使用旋塗技術形成,且可透過使用合適的光微影技術圖案化。在將光阻圖案化之後,進行n型雜質佈植於第二區50B中,且光阻可作為遮罩來大致防止n型雜質植入第一區50A(例如N型金屬氧化物半導體區域)中。N型雜質可為被植入區域中的磷、砷或類似物至濃度等於或小於1018 cm-3 ,例如在約1017 cm-3 至約1018 cm-3 之間。在佈植之後,例如透過合適的灰化製程來移除光阻。
在第二區50B的佈植之後,第二光阻形成於第一區50A和第二區50B中的鰭58和隔離區56上方。將此光阻圖案化以暴露出基底50的第一區50A(例如N型金屬氧化物半導體區域)。光阻可透過使用旋塗技術形成,且可透過使用合適的光微影技術圖案化。在將光阻圖案化之後,進行p型雜質佈植於第一區50A中,且光阻可作為遮罩來大致防止p型雜質植入第二區50B(例如P型金屬氧化物半導體區域)中。P型雜質可為被植入區域中的硼、BF2 或類似物至濃度等於或小於1018 cm-3 ,例如在約1017 cm-3 至約1018 cm-3 之間。在佈植之後,例如透過合適的灰化製程來移除光阻。
在第一區50A和第二區50B的佈植之後,可進行退火來活化被植入的p型及/或n型雜質。在一些實施例中,磊晶鰭的成長材料可在成長期間原位摻雜,其可免除佈植。依據一些實施例,可一起使用原位摻雜和佈植摻雜。
在第7圖中,虛設介電層60形成於鰭58上。虛設介電層60可例如為氧化矽、氮化矽、前述之組合或類似物,且可透過合適的技術來沉積或熱成長。虛設介電層60可具有厚度T1在約10Å與約100Å之間,例如約40Å。如第7圖所示,虛設介電層60可選擇性地形成於鰭58上,且可不形成於隔離區56上。
在第8圖中,虛設閘極材料63形成於虛設介電層60和隔離區56上方。在一些實施例中,虛設閘極材料63可由非晶矽(a-Si)材料形成。虛設閘極材料63可透過任何合適的製程形成,例如化學氣相沉積(chemical vapor deposition,CVD)製程、原子層沉積(atomic layer deposition,ALD)製程、低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)製程或類似方法。虛設閘極材料63的形成可包含沉積矽晶種層(未個別顯示),以及接著在矽晶種層上成長額外的矽。依據一些實施例,虛設閘極材料63可被稱為虛設閘極晶種層。用於沉積虛設閘極材料63的前驅物可包含SiH3 -N((CH-(CH3)2 )2 、二矽烷(Si2 H6 )、單矽烷 (SiH4 )、前述之組合或類似物。虛設閘極材料63可沒有其他元素,例如鍺(Ge)、n型雜質(例如磷(P)和砷(As))和p型雜質(例如硼(B)和銦(In)),或可包含這些元素的其中一些。
在使用二矽烷成長虛設閘極材料63的實施例中,溫度可在約300°C與約450°C之間。在使用單矽烷成長虛設閘極材料63的實施例中,溫度可在約400°C與約600°C之間。依據溫度、虛設閘極材料63的成長速率和其他製程條件,虛設閘極材料63可為非晶矽層或多晶矽層。如第8圖所示,虛設閘極材料63可為順應層,且沿虛設介電層60和隔離區56的頂表面以及虛設介電層60的側表面具有均勻的厚度。虛設閘極材料63可具有厚度T2在約1nm與約20nm之間,例如約5nm。
在第9圖中,虛設閘極材料63從鰭58上方回流至與鰭58相鄰的溝槽中。虛設閘極材料63可透過加熱基底50、循環加熱在虛設閘極材料63的頂表面上方的氣體、前述之組合或任何其他合適的方法來回流。在一些實施例中,進行回流的溫度在約450°C與約600°C之間,例如約470°C。回流可持續約3分鐘至約2小時,回流時間取決於溫度,較高的溫度對應至較短的回流時間,而較低的溫度對應至較長的回流時間。在回流期間,可引入製程氣體,例如氮(N2 )或氫(H2 )。虛設閘極材料63可在壓力小於約100Torr來回流,例如在約1mTorr與約90Torr之間。可將虛設閘極材料63回流使得在虛設介電層60的頂表面上的虛設閘極材料63的厚度T3在約0.1nm與約20nm之間,例如約5nm。在回流之後,虛設閘極材料63的氫(H)濃度可在約0.1wt%(重量百分比)與約2wt%之間,或小於約2wt%。在一些實施例中,在將虛設閘極材料63回流之後,虛設閘極材料63可具有氫濃度小於約2x1020 atoms/cm3
在第10圖中,蝕刻虛設閘極材料63以暴露出虛設介電層60的至少一部分。可透過任何合適的製程蝕刻虛設閘極材料63,例如鹵素系氣體蝕刻(例如鹵素系電漿蝕刻)或類似方法。蝕刻製程可使用合適的氣體。蝕刻製程可使用含鹵素氣體,例如含有氯(Cl2 )、氟(F2 )、溴(Br2 )、碘(I2 )、前述之組合或類似物的氣體。蝕刻氣體可與虛設介電層60的暴露部分反應以作用於虛設介電層60的暴露部分,形成鹵素末端(halogen-terminated)虛設介電層61。鹵素末端虛設介電層61可作為保護層。在一些實施例中,蝕刻氣體也可與虛設閘極材料63的暴露部分反應以作用於虛設閘極材料63的暴露部分,在虛設閘極材料63的暴露部分上形成鹵素末端層(未個別顯示)。
可蝕刻虛設閘極材料63,使得虛設閘極材料63的高度H2大於虛設介電層60和鹵素末端虛設介電層61的總和的高度H1的約10%。舉例來說,高度H1可在約30nm與約100nm之間,例如約35nm。高度H2可在約3nm與約99nm之間,例如約30nm。高度H2可在高度H1的約10%與約99%之間,例如高度H1的約80%。在一些實施例中,高度H2可大於高度H1的約10%。
在第11圖中,附加虛設閘極材料63’透過額外的沉積製程沉積於虛設閘極材料63上,沉積製程例如化學氣相沉積、原子層沉積或類似方法。額外的沉積製程可為選擇性的且可僅沉積於虛設閘極材料63上,而不沉積於鹵素末端虛設介電層61上。如此一來,附加虛設閘極材料63’可在由下而上製程沉積於第10圖的虛設閘極材料63上方。在一些實施例中,附加虛設閘極材料63’可皆沉積於虛設閘極材料63和鹵素末端虛設介電層61上方,但是在虛設閘極材料63上的沉積速率高於在鹵素末端虛設介電層61上的沉積速率。在一些實施例中,鹵素末端虛設介電層61的培養時間(incubation time)(在暴露於額外的沉積製程之後,附加虛設閘極材料63’形成於層的表面上的所需時間)可比虛設閘極材料63的培養時間更多約40分鐘或更多約5分鐘。
在第12圖中,透過額外的沉積製程更進一步沉積附加虛設閘極材料63’,且接著將其平坦化以形成虛設閘極層62。虛設閘極層62可透過合適的平坦化製程來平坦化,例如化學機械研磨(chemical mechanical planarization,CMP)製程、研磨、回蝕刻平坦化製程或類似方法。虛設閘極層62可具有高度H3在約60nm與約190nm之間,例如約100nm。虛設閘極層62可由與虛設閘極材料63相同或相似的材料形成。舉例來說,虛設閘極層62可始終由非晶矽(a-Si)材料形成。
透過沉積虛設閘極材料63、將虛設閘極材料63回流、蝕刻虛設閘極材料63並反應作用於虛設介電層60,以及在虛設閘極材料63上進行額外沉積附加虛設閘極材料63’(例如透過由下而上沉積製程形成虛設閘極層62)形成虛設閘極層62減少鰭58之間形成接縫或孔隙,並降低鰭58之間的溝槽的深寬比。此製程也減少鰭58彎曲。舉例來說,在形成虛設閘極層62之後,鰭到鰭的間距的差值(例如鰭到鰭的間距的差異或改變)可小於約6nm,例如約0.55nm,或約0.29nm。虛設閘極層62中形成的接縫/孔隙以及鰭58的彎曲皆可導致取代閘極的沉積問題(以下參照第20A和20B圖討論),而透過上述方法形成虛設閘極層62有利地減少這些問題。
在一些實施例中,上述由下而上沉積製程可用於形成電晶體在基底50上的一些區域中,而傳統製程可用於形成電晶體在基底50上的其他區域中。僅透過上述由下而上沉積製程形成的電晶體可具有由下而上製程的益處。再者,鹵素末端虛設介電層61可僅存在於透過由下而上製程形成的電晶體中。相對地,透過傳統製程形成的電晶體可包含非鹵素末端的虛設介電層。
在第13圖中,遮罩層64形成於虛設閘極層62上方。遮罩層64可透過任何合適的方法沉積於虛設閘極層62上方,例如化學氣相沉積、電漿輔助化學氣相沉積(plasma-enhanced CVD,PECVD)、低壓化學氣相沉積(LPCVD)或類似方法。遮罩層64可包含例如氮化矽(例如Si3 N4 )、氧化矽(SiO2 )、氮氧化矽(Si2 N2 O)或類似物。
第14A-22B圖顯示實施例裝置的製造中的各種額外步驟。第14A-22B圖顯示第一區50A和第二區50B中的任一個的部件。舉例來說,顯示於第14A-22B圖的結構皆可應用於第一區50A和第二區50B。第一區50A和第二區50B的結構中的差異(如果有)描述於附於每個圖式的正文中。
在第14A和14B圖中,遮罩層64可透過使用合適的光微影和蝕刻技術形成遮罩74。接著,遮罩74的圖案可透過合適的蝕刻技術轉移至虛設閘極層62以形成虛設閘極72。在一些實施例中,遮罩74的圖案也可轉移至虛設介電層60及/或鹵素末端虛設介電層61(未個別顯示)。虛設閘極72覆蓋鰭58的個別通道區。遮罩74的圖案可用於將每個虛設閘極72與相鄰的虛設閘極物理地隔開。虛設閘極72也可具有長度方向大致垂直於個別的磊晶鰭52/58的長度方向。
再者,在第14A和14B圖中,閘極密封間隙壁80可形成於虛設閘極72、遮罩74及/或鰭58的暴露表面上。熱氧化或沉積之後進行非等向性蝕刻可形成閘極密封間隙壁80。
在形成閘極密封間隙壁80之後, 可進行用於輕摻雜源極/汲極(lightly doped source/drain,LDD)區(未明確顯示)的佈植。在有著不同裝置類型的實施例中,相似於上述第6圖的佈植,遮罩(例如光阻)可形成於第一區50A上方,同時暴露出第二區50B,且可將合適類型(例如p型)的雜質植入第二區50B中暴露的鰭58中。接著,可移除遮罩。之後,遮罩(例如光阻)可形成於第二區50B上方,同時暴露出第一區50A,且可將合適類型(例如n型)的雜質植入第一區50A中暴露的鰭58中。接著,可移除遮罩。n型雜質可為任何前述的n型雜質,且p型雜質可為任何前述的p型雜質。輕摻雜源極/汲極區具有雜質的濃度在約1015 cm-3 至約1016 cm-3 。可使用退火來活化植入的雜質。
在第15A和15B圖中,閘極間隙壁86沿虛設閘極72和遮罩74的側壁形成於閘極密封間隙壁80上。閘極間隙壁86可透過順應性沉積材料,接著非等向性蝕刻此材料來形成。閘極間隙壁86的材料可為氮化矽、SiCN、前述之組合或類似物。
在第16A和16B圖中,磊晶源極/汲極區82(有時也被簡稱為源極/汲極區)形成於鰭58中。磊晶源極/汲極區82形成於鰭58中,使得每個虛設閘極72設置於各對相鄰的磊晶源極/汲極區82之間。在一些實施例中,磊晶源極/汲極區82可延伸進入鰭52中。在一些實施例中,閘極間隙壁86用於將磊晶源極/汲極區82與虛設閘極72以合適的橫向距離隔開,使得磊晶源極/汲極區82不會使後續形成最終的鰭式場效電晶體的閘極短路。
第一區50A(例如N型金屬氧化物半導體區)中的磊晶源極/汲極區82可透過將第二區50B(例如P型金屬氧化物半導體區)遮蔽,並蝕刻第一區50A中的鰭58的源極/汲極區,以在鰭58中形成凹口。接著,第一區50A中的磊晶源極/汲極區82磊晶成長於凹口中。磊晶源極/汲極區82可包含任何合適的材料,例如適用於n型鰭式場效電晶體的材料。舉例來說,假如鰭58為矽,第一區50A中的磊晶源極/汲極區82可包含矽、SiC、SiCP、SiP或類似物。第一區50A中的磊晶源極/汲極區82可具有從鰭58的各自表面凸起的表面,且可具有多面。
第二區50B(例如P型金屬氧化物半導體區)中的磊晶源極/汲極區82可透過將第一區50A(例如N型金屬氧化物半導體區)遮蔽,接著蝕刻第二區50B中的鰭58的源極/汲極區,以在鰭58中形成凹口。接著,第二區50B中的磊晶源極/汲極區82磊晶成長於凹口中。磊晶源極/汲極區82可包含任何合適的材料,例如適用於p型鰭式場效電晶體的材料。舉例來說,假如鰭58為矽,第二區50B中的磊晶源極/汲極區82可包含SiGe、SiGeB、Ge、GeSn或類似物。第二區50B中的磊晶源極/汲極區82可具有從鰭58的各自表面凸起的表面,且可具有多面。
可將磊晶源極/汲極區82及/或鰭58植入摻雜物以形成源極/汲極區,此製程相似於上述用於形成輕摻雜源極/汲極區的製程,接著進行退火。源極/汲極區可具有雜質濃度在約1019 cm-3 至約1021 cm-3 之間。用於源極/汲極區的n型雜質及/或p型雜質可為前述的任何雜質。在一些實施例中,磊晶源極/汲極區82可在成長期間原位摻雜。
由於用於在第一區50A和第二區50B中形成磊晶源極/汲極區82的磊晶製程,因此磊晶源極/汲極區82的上表面具有多面橫向向外擴展超過鰭58的側壁。在一些實施例中,如第16C圖所示,這些面導致同一個鰭式場效電晶體裝置的相鄰磊晶源極/汲極區82合併。在其他實施例中,如第16D圖所示,在完成磊晶製程之後,相鄰的磊晶源極/汲極區82保持分開。
在第17A和17B圖中,層間介電層(interlayer dielectric layer,ILD)88設置於第16A和16B圖顯示的結構上方。層間介電層88可由介電材料或半導體材料形成,且可透過任何合適的方法沉積,例如化學氣相沉積、電漿輔助化學氣相沉積(PECVD)或可流動化學氣相沉積。介電材料可包含磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼摻雜磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)、未摻雜矽酸鹽玻璃(undoped silicate glass,USG)或類似物。半導體材料可包含非晶矽(a-Si)、矽鍺(Six Ge1-x ,其中x可在大致0至1之間)、純鍺或類似物。可使用透過任何合適的製程形成的其他絕緣物或半導體材料。在一些實施例中,接觸蝕刻停止層(contact etch stop layer,CESL)(未個別顯示)設置於層間介電層88與磊晶源極/汲極區82、遮罩74以及閘極間隙壁86之間。
在第18A和18B圖中,可進行平坦化製程(例如化學機械研磨),使層間介電層88的頂表面與虛設閘極72的頂表面齊平。平坦化製程也可移除虛設閘極72上的遮罩74以及閘極密封間隙壁80和閘極間隙壁86沿遮罩74的側壁的部分。在平坦化製程之後,虛設閘極72、閘極密封間隙壁80、閘極間隙壁86和層間介電層88的頂表面齊平。因此,虛設閘極72的頂表面從層間介電層88暴露出來。
在第19A和19B圖中,在蝕刻步驟中移除虛設閘極72和鹵素末端虛設介電層61在暴露的虛設閘極72正下方的部分,以形成凹口90。在一些實施例中,虛設閘極72透過非等向性乾蝕刻製程移除。舉例來說,蝕刻製程可包含乾蝕刻製程,乾蝕刻製程使用反應氣體選擇性地蝕刻虛設閘極72而不蝕刻層間介電層88或閘極間隙壁86。每個凹口90暴露出各自鰭58的通道區。每個通道區設置於各對相鄰的磊晶源極/汲極區82之間。在移除製程期間,鹵素末端虛設介電層61可用作當虛設閘極72被蝕刻時的蝕刻停止層。在移除虛設閘極72之後,可接著移除鹵素末端虛設介電層61。
在第20A和20B圖中,形成用於取代閘極的閘極介電層92、功函數層93和閘極電極94。閘極介電層92順應性沉積於凹口90中,例如沉積於鰭58的頂表面和側壁上以及閘極密封間隙壁80/閘極間隙壁86的側壁上。閘極介電層92也可形成於層間介電層88的頂表面上。依據一些實施例,閘極介電層92包括氧化矽(SiO2 )、氮化矽(Si3 N4 )或前述之多層。在一些實施例中,閘極介電層92為高介電常數(high-k)介電材料,且在這些實施例中,閘極介電層92可具有介電常數值大於約7.0,且可包含Hf、Al、Zr、La、Mg、Ba、Ti、Pb和前述之組合的金屬氧化物或矽酸鹽。閘極介電層92的形成方法可包含分子束沉積(Molecular-Beam Deposition,MBD)、原子層沉積、電漿輔助化學氣相沉積和類似方法。
再者,在第20A和20B圖中,形成導電材料填入凹口90中。導電材料可包含一種或多種阻障層、功函數層及/或功函數調整層,以調整後續形成的閘極電極的功函數。在一實施例中,功函數層93沉積於閘極介電層92上方。功函數層93可為含金屬材料,例如Al、TiC、TiN、前述之組合或前述之多層。
閘極電極94沉積於功函數層93上方,並填充凹口90的剩下部分。閘極電極94可為含金屬材料,例如TiN、TaN、TaC、Co、Ru、Al、前述之組合或前述之多層。在填充閘極電極94之後,可進行平坦化製程(例如化學機械研磨)來移除閘極介電層92、功函數層93和閘極電極94的材料的多餘部分,其中多餘部分在層間介電層88的頂表面上方。閘極電極94、功函數層93和閘極介電層92的剩下部分因此形成最終鰭式場效電晶體的取代閘極。閘極電極94、功函數層93和閘極介電層92可被統稱為“閘極”或“閘極堆疊”。閘極和閘極堆疊可沿鰭58的通道區的側壁延伸。
在第一區50A和第二區50B中之閘極介電層92的形成可同時發生,使得在每一區域中的閘極介電層92由相同材料形成。相似地,在第一區50A和第二區50B中之功函數層93的形成和閘極電極94的形成可各自同時發生,使得在每個區域中的功函數層93和閘極電極94由相同於另一區域中的功函數層93和閘極電極94的材料。在一些實施例中,在每一區域中的閘極介電層92、功函數層93和閘極電極94可由不同的製程形成,使得在每一區域中的閘極介電層92、功函數層93和閘極電極94可為不同材料。當使用不同製程時,可使用各種遮罩步驟來遮蔽並暴露出合適的區域。
在第21A和21B圖中,層間介電層108設置於層間介電層88上方。在一實施例中,層間介電層108為透過可流動化學氣相沉積方法形成的可流動膜。在一些實施例中,層間介電層108由介電材料形成,例如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼摻雜磷矽酸鹽玻璃、未摻雜矽酸鹽玻璃或類似物,且層間介電層108可透過任何合適的方法沉積,例如化學氣相沉積或電漿輔助化學氣相沉積。
在第22A和22B圖中,形成閘極接點110和源極/汲極接點112通過層間介電層108和層間介電層88。用於源極/汲極接點112的開口(未個別顯示)形成通過層間介電層108和層間介電層88,而用於閘極接點110的開口(未個別顯示)形成通過層間介電層108。開口可透過使用合適的光微影和蝕刻技術形成。選擇性地,在形成閘極接點110和源極/汲極接點112之前,可形成矽化物接點(未個別顯示)。矽化物接點可包括鈦、鎳、鈷或鉺,且可用以降低閘極接點110和源極/汲極接點112的蕭基阻障高度(Schottky barrier height)。然而,也可使用其他金屬,例如鉑、鈀和類似物。矽化可透過毯覆式沉積合適的金屬層以及接著使金屬與下方暴露的矽反應的退火步驟來進行。接著,例如以選擇性蝕刻製程移除未反應的金屬。矽化物接點的厚度可在約5nm與約50nm之間。
閘極接點110和源極/汲極接點112可由導電材料形成,例如Al、Cu、W、Co、Ti、Ta、Ru、TiN、TiAl、TiAlN、TaN、TaC、NiSi、CoSi、前述之組合或類似物,但是也可使用任何合適的導電材料。閘極接點110和源極/汲極接點112可透過使用沉積製程(例如濺鍍、化學氣相沉積、電鍍、無電電鍍或類似方法)沉積於層間介電層108和層間介電層88的開口中,以填充及/或過填充開口。當填充或過填充之後,可透過使用平坦化製程(例如化學機械研磨(CMP))移除在開口之外的任何沉積材料。
閘極接點110物理及電性連接至閘極電極94,且源極/汲極接點112物理及電性連接至磊晶源極/汲極區82。第22A和22B圖在相同剖面顯示閘極接點110和源極/汲極接點112,然而,在其他實施例中,閘極接點110和源極/汲極接點112可設置於不同剖面中。再者,第22A和22B圖中的閘極接點110和源極/汲極接點112僅為說明性,而不是以任何方式進行限制。舉例來說,如圖所示,閘極接點110可與鰭52垂直對齊,或閘極接點110可設置於在閘極電極94上的其他位置。再者,源極/汲極接點112可在形成閘極接點110之前、同時或之後形成。如第22A和22B圖所示,鹵素末端虛設介電層61的至少一部分可保留在鰭58上。
第23圖顯示第一區50A中的鰭式場效電晶體裝置和第二區50B中的鰭式場效電晶體裝置。如第23圖所示,第一區50A和第二區50B中的鰭式場效電晶體裝置彼此相同或相似,且可透過上述參照第14A-22B圖的步驟形成。第一區50A中的鰭式場效電晶體裝置可與第二區50B中的鰭式場效電晶體裝置同時形成,或可與第二區50B中的鰭式場效電晶體裝置分別形成。
許多電晶體可形成橫跨基底50的表面。在一些實施例中,上述的由下而上製程可用於形成基底50的一些區域中的電晶體,而傳統製程可用於形成基底50的其他區域中的電晶體。因此,僅在包含透過由下而上製程形成的電晶體的區域可具有由下而上製程的益處,且鹵素末端虛設介電層61可僅存在於透過由下而上製程形成的電晶體的區域中。包含透過傳統製程形成的電晶體的區域可包含並非鹵素末端的傳統虛設介電層。
依據上述由下而上製程形成的虛設閘極層62具有許多優點。舉例來說,由下而上製程減少鰭58彎曲。此製程也防止接縫或孔隙形成於虛設閘極層62中。因此,可在形成閘極堆疊之前完全地移除虛設閘極層62,而不留下任何殘留物或剩餘材料。此導致裝置效能改善,也增加裝置產率。
依據一實施例,一方法包含在從基底延伸出的鰭上沉積虛設介電層;在虛設介電層上沉積虛設閘極晶種層;將虛設閘極晶種層回流;蝕刻虛設閘極晶種層;以及在虛設閘極晶種層上方選擇性地沉積虛設閘極材料,虛設閘極材料和虛設閘極晶種層形成虛設閘極。在一實施例中,蝕刻虛設閘極晶種層的步驟暴露出虛設介電層的一部分。在一實施例中,蝕刻虛設閘極晶種層的步驟在虛設介電層的暴露部分上形成作用表面。在一實施例中,虛設閘極材料以第一速率沉積於虛設閘極晶種層上,其中虛設閘極材料以第二速率沉積於虛設介電層的作用表面上,且第一速率大於第二速率。在一實施例中,此方法更包含移除虛設閘極以形成凹口,以及在凹口中形成取代閘極。在一實施例中,移除虛設閘極的步驟更包含移除虛設介電層的至少一部分,且在移除虛設閘極之後,虛設介電層的另一部分包含作用表面。在一實施例中,蝕刻虛設閘極晶種層的步驟包含鹵素系電漿蝕刻。在一實施例中,在將虛設閘極晶種層回流之後,虛設閘極晶種層具有氫濃度小於2x1020 atoms/cm3 。在一實施例中,虛設閘極晶種層透過原子層沉積(ALD)或化學氣相沉積(CVD)來沉積。在一實施例中,虛設閘極包含非晶矽(a-Si)。在一實施例中,虛設閘極晶種層在大於溫度470˚C、時間大於3分鐘以及壓力小於100Torr的條件下回流。
依據一實施例,一方法包含在從基底延伸出的鰭上沉積虛設介電層;在虛設介電層上沉積第一虛設閘極材料;將第一虛設閘極材料回流;蝕刻第一虛設閘極材料和虛設介電層,其中蝕刻虛設介電層之後,形成具有末端基的虛設介電層;以及在第一虛設閘極材料上方沉積第二虛設閘極材料以形成虛設閘極,第二虛設閘極材料選擇性地沉積於第一虛設閘極材料上。在一實施例中,此方法更包含蝕刻虛設閘極和虛設介電層以形成凹口,在蝕刻虛設閘極和虛設介電層之後,保留具有末端基的虛設介電層的至少一部分;以及在凹口中形成閘極堆疊。在一實施例中,蝕刻步驟包含鹵素基氣體蝕刻。在一實施例中,虛設介電層的表面透過蝕刻第一虛設閘極材料暴露出來,且虛設介電層暴露的表面透過蝕刻作用而形成具有末端基的虛設介電層。在一實施例中,基底包含複數個鰭,且在形成虛設閘極之後,複數個鰭的鰭到鰭間距的變異小於約6nm。
依據一實施例,半導體裝置包含閘極堆疊,位於半導體基底上方;閘極間隙壁,設置於閘極堆疊的側壁上;以及介電層,設置於半導體基底與閘極間隙壁之間,介電層包含鹵素末端表面。在一實施例中,鹵素末端表面包含氯末端二氧化矽。在一實施例中,半導體基底包含一個或多個鰭。在一實施例中,半導體裝置更包含第二閘極堆疊,位於半導體基底上方;第二閘極間隙壁,設置於第二閘極堆疊的側壁上;以及第二介電層,設置於半導體基底與第二閘極間隙壁之間,第二介電層不具有鹵素末端。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
50‧‧‧基底 50A‧‧‧第一區 50B‧‧‧第二區 51‧‧‧分隔線 52、58‧‧‧鰭 54‧‧‧絕緣材料 56‧‧‧隔離區 60‧‧‧虛設介電層 61‧‧‧鹵素末端虛設介電層 62‧‧‧虛設閘極層 63‧‧‧虛設閘極材料 63’‧‧‧附加虛設閘極材料 64‧‧‧遮罩層 72‧‧‧虛設閘極 74‧‧‧遮罩 80‧‧‧閘極密封間隙壁 82‧‧‧磊晶源極/汲極區 86‧‧‧閘極間隙壁 88、108‧‧‧層間介電層 90‧‧‧凹口 92‧‧‧閘極介電層 93‧‧‧功函數層 94‧‧‧閘極電極 110‧‧‧閘極接點 112‧‧‧源極/汲極接點 H1、H2、H3‧‧‧高度 T1、T2、T3‧‧‧厚度
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1圖顯示依據一些實施例之鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)的範例的三維視圖。 第2、3、4、5、6、7、8、9、10、11、12、13、14A、14B、15A、15B、16A、16B、16C、16D、17A、17B、18A、18B、19A、19B、20A、20B、21A、21B、22A、22B和23圖為依據一些實施例之製造鰭式場效電晶體的中間階段的剖面示意圖。
50‧‧‧基底
50A‧‧‧第一區
50B‧‧‧第二區
52、58‧‧‧鰭
56‧‧‧隔離區
60‧‧‧虛設介電層
61‧‧‧鹵素末端虛設介電層
62‧‧‧虛設閘極層
H3‧‧‧高度

Claims (10)

  1. 一種半導體裝置的製造方法,包括:在從一基底延伸出的一鰭上沉積一虛設介電層;在該虛設介電層上沉積一虛設閘極晶種層;將該虛設閘極晶種層回流,其中將該虛設閘極晶種層回流的步驟減少了在該鰭的頂表面上的該虛設閘極晶種層的厚度,並增加了與該鰭相鄰的該虛設閘極晶種層的厚度;蝕刻該虛設閘極晶種層;以及在該虛設閘極晶種層上方選擇性地沉積一虛設閘極材料,其中該虛設閘極材料和該虛設閘極晶種層構成一虛設閘極。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中蝕刻該虛設閘極晶種層的步驟暴露出該虛設介電層的一部分。
  3. 如申請專利範圍第2項所述之半導體裝置的製造方法,其中蝕刻該虛設閘極晶種層的步驟在該虛設介電層暴露的該部分上形成一作用表面。
  4. 如申請專利範圍第3項所述之半導體裝置的製造方法,其中該虛設閘極材料以一第一速率沉積於該虛設閘極晶種層上,其中該虛設閘極材料以一第二速率沉積於該虛設介電層的該作用表面上,且其中該第一速率大於該第二速率。
  5. 如申請專利範圍第3項所述之半導體裝置的製造方法,更包括:移除該虛設閘極以形成一凹口,其中移除該虛設閘極的步驟更包括移除該虛設介電層的至少一部分,且其中在移除該虛設閘極之後,該虛設介電層的另一部分包含該作用表面;以及在該凹口中形成一取代閘極。
  6. 如申請專利範圍第1至5中任一項所述之半導體裝置的製造方 法,其中蝕刻該虛設閘極晶種層的步驟包括一鹵素系電漿蝕刻。
  7. 一種半導體裝置的製造方法,包括:在從一基底延伸出的一鰭上沉積一虛設介電層;在該虛設介電層上沉積一第一虛設閘極材料;將該第一虛設閘極材料回流;蝕刻該第一虛設閘極材料和該虛設介電層,其中蝕刻該虛設介電層之後,形成一具有末端基的虛設介電層;以及在蝕刻該第一虛設閘極材料和該虛設介電層之後,在該第一虛設閘極材料上方沉積一第二虛設閘極材料以形成一虛設閘極,其中該第二虛設閘極材料選擇性地沉積於該第一虛設閘極材料上。
  8. 如申請專利範圍第7項所述之半導體裝置的製造方法,更包括:蝕刻該虛設閘極和該虛設介電層以形成一凹口,在蝕刻該虛設閘極和該虛設介電層之後,保留該具有末端基的虛設介電層的至少一部分;以及在該凹口中形成一閘極堆疊。
  9. 如申請專利範圍第7或8項所述之半導體裝置的製造方法,其中該虛設介電層的一表面透過蝕刻該第一虛設閘極材料暴露出來,且其中該虛設介電層暴露的該表面透過蝕刻作用而形成該具有末端基的虛設介電層。
  10. 一種半導體裝置,包括:一閘極堆疊,位於一半導體基底上方;一閘極間隙壁,設置於該閘極堆疊的側壁上;一閘極密封間隙壁,設置於該閘極間隙壁與該閘極堆疊之間;以及一介電層,設置於該半導體基底與該閘極間隙壁之間,該介電層包括一鹵素末端表面,其中該介電層和該閘極堆疊的一閘極介電層直接接觸該半導體基底,且其中該介電層直接接觸該閘極介電層的一下部,且該閘極密封間隙壁直 接接觸該閘極介電層的一上部。
TW108125351A 2018-07-31 2019-07-18 半導體裝置及其製造方法 TWI707477B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/050,234 2018-07-31
US16/050,234 US10868137B2 (en) 2018-07-31 2018-07-31 Semiconductor device and method

Publications (2)

Publication Number Publication Date
TW202008597A TW202008597A (zh) 2020-02-16
TWI707477B true TWI707477B (zh) 2020-10-11

Family

ID=69229839

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108125351A TWI707477B (zh) 2018-07-31 2019-07-18 半導體裝置及其製造方法

Country Status (3)

Country Link
US (3) US10868137B2 (zh)
CN (1) CN110783197B (zh)
TW (1) TWI707477B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11114331B2 (en) * 2019-05-03 2021-09-07 United Microelectronics Corp. Method for fabricating shallow trench isolation
US11710777B2 (en) 2020-10-27 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacture
US20220344490A1 (en) * 2021-04-21 2022-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. System and methods of manufacturing semiconductor devices
US20230008315A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive Features of Semiconductor Devices and Methods of Forming the Same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160049483A1 (en) * 2014-08-15 2016-02-18 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacuting method of the same
TW201621981A (zh) * 2014-09-04 2016-06-16 台灣積體電路製造股份有限公司 半導體元件及形成半導體元件之方法
US20160233092A1 (en) * 2015-02-11 2016-08-11 United Microelectronics Corp. Gate and gate forming process

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050081781A1 (en) * 2003-10-17 2005-04-21 Taiwan Semiconductor Manufacturing Co. Fully dry, Si recess free process for removing high k dielectric layer
KR100594295B1 (ko) * 2004-09-24 2006-06-30 삼성전자주식회사 층 성장을 이용한 게이트 형성 방법 및 이에 따른 게이트구조
CN102473642B (zh) * 2009-07-08 2014-11-12 株式会社东芝 半导体装置及其制造方法
US8367563B2 (en) * 2009-10-07 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for a gate replacement process
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US9318621B2 (en) * 2013-03-08 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Rotated STI diode on FinFET technology
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9252271B2 (en) * 2013-11-27 2016-02-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making
US9287139B2 (en) * 2014-02-21 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Re-crystallization for boosting stress in MOS device
CN105489651B (zh) * 2014-09-19 2019-02-01 中国科学院微电子研究所 半导体器件及其制造方法
US9490176B2 (en) * 2014-10-17 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation
US9577102B1 (en) * 2015-09-25 2017-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming gate and finFET
US10032884B2 (en) * 2015-10-22 2018-07-24 International Business Machines Corporation Unmerged epitaxial process for FinFET devices with aggressive fin pitch scaling
KR102553260B1 (ko) * 2016-08-03 2023-07-07 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US11784239B2 (en) * 2016-12-14 2023-10-10 Intel Corporation Subfin leakage suppression using fixed charge

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160049483A1 (en) * 2014-08-15 2016-02-18 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacuting method of the same
TW201621981A (zh) * 2014-09-04 2016-06-16 台灣積體電路製造股份有限公司 半導體元件及形成半導體元件之方法
US20160233092A1 (en) * 2015-02-11 2016-08-11 United Microelectronics Corp. Gate and gate forming process

Also Published As

Publication number Publication date
CN110783197A (zh) 2020-02-11
TW202008597A (zh) 2020-02-16
CN110783197B (zh) 2023-05-05
US20230352563A1 (en) 2023-11-02
US20210134984A1 (en) 2021-05-06
US11728406B2 (en) 2023-08-15
US10868137B2 (en) 2020-12-15
US20200044048A1 (en) 2020-02-06

Similar Documents

Publication Publication Date Title
US11004688B2 (en) FinFET device and method of forming
US11133416B2 (en) Methods of forming semiconductor devices having plural epitaxial layers
US11908750B2 (en) Semiconductor device and method
TWI707477B (zh) 半導體裝置及其製造方法
US11594618B2 (en) FinFET devices and methods of forming
TWI725588B (zh) 半導體裝置的形成方法及半導體裝置
US11031298B2 (en) Semiconductor device and method
US11532507B2 (en) Semiconductor device and method
TWI792061B (zh) 半導體裝置及其形成方法
TWI739147B (zh) 半導體裝置及其形成方法
US10991630B2 (en) Semiconductor device and method
TW202143338A (zh) 半導體裝置的製造方法
US11616133B2 (en) Fin field-effect transistor device and method
CN115527944A (zh) 制造半导体元件的方法
US11011623B2 (en) Method for increasing germanium concentration of FIN and resulting semiconductor device
TW202109623A (zh) 形成半導體裝置的方法
TWI825835B (zh) 半導體元件及其形成方法
US11862468B2 (en) Semiconductor device and method