TWI767509B - 半導體裝置的製造方法 - Google Patents

半導體裝置的製造方法 Download PDF

Info

Publication number
TWI767509B
TWI767509B TW110101267A TW110101267A TWI767509B TW I767509 B TWI767509 B TW I767509B TW 110101267 A TW110101267 A TW 110101267A TW 110101267 A TW110101267 A TW 110101267A TW I767509 B TWI767509 B TW I767509B
Authority
TW
Taiwan
Prior art keywords
gate
spacer
gate spacer
layer
etching
Prior art date
Application number
TW110101267A
Other languages
English (en)
Other versions
TW202143338A (zh
Inventor
黃偵晃
謝明哲
張正忠
徐紹華
張書維
魏安祺
王祥保
陳嘉仁
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202143338A publication Critical patent/TW202143338A/zh
Application granted granted Critical
Publication of TWI767509B publication Critical patent/TWI767509B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Die Bonding (AREA)

Abstract

揭示在半導體裝置中形成氣體間隔物的方法以及包含氣體間隔物的半導體裝置。根據一實施例,方法包含在基底上方形成閘極堆疊;在閘極堆疊的側壁上形成第一閘極間隔物;在第一閘極間隔物的側壁上形成第二閘極間隔物;使用蝕刻製程移除第二閘極間隔物以形成第一開口,蝕刻製程在小於0°C的溫度下進行,蝕刻製程使用包含氟化氫的蝕刻溶液;以及在第一閘極間隔物和閘極堆疊上方沉積介電層,介電層在第一開口中密封氣體間隔物。

Description

半導體裝置的製造方法
本發明實施例是關於半導體製造技術,特別是關於半導體裝置的製造方法。
半導體裝置用於各種電子應用中,舉例來說,例如個人電腦、手機、數位相機和其他電子設備。半導體裝置的製造通常藉由在半導體基底上方依序沉積絕緣層或介電層、導電層和半導體層的材料,並使用微影將這些不同材料層圖案化,以在半導體基底上形成電路組件和元件。
半導體產業藉由不斷縮減最小部件尺寸來持續提升各種電子組件(例如電晶體、二極體、電阻器、電容器等)的積體密度,這允許將更多組件整合至給定區域中。然而,隨著最小部件尺寸縮減,產生了應被解決的其他問題。
根據一些實施例提供半導體裝置的製造方法。此方法包含在基底上方形成閘極堆疊;在閘極堆疊的側壁上形成第一閘極間隔物;在第一閘極 間隔物的側壁上形成第二閘極間隔物;使用蝕刻製程移除第二閘極間隔物以形成第一開口,其中蝕刻製程在小於0℃的溫度下進行,其中蝕刻製程使用包含氟化氫的蝕刻溶液;以及在第一閘極間隔物和閘極堆疊上方沉積介電層,介電層在第一開口中密封氣體間隔物。
根據另一些實施例提供半導體裝置的製造方法。此方法包含在半導體基底上方形成閘極堆疊;在閘極堆疊的側壁上形成第一閘極間隔物;在第一閘極間隔物的側壁上形成第二閘極間隔物;在閘極堆疊的兩側磊晶成長源極/汲極區;使用蝕刻製程移除第二閘極間隔物,第二閘極間隔物的移除形成第一開口,在蝕刻製程期間,在閘極堆疊、第一閘極間隔物和源極/汲極區的表面上形成固態蝕刻膜,並在第二閘極間隔物的表面上形成液態蝕刻膜;以及沉積第一介電層以密封第一開口並在第一閘極間隔物的側壁上界定氣體間隔物。
根據又另一些實施例提供半導體裝置的製造方法。此方法包含在半導體基底上方形成虛設閘極;在虛設閘極上方沉積第一間隔層;在第一間隔層上方沉積第二間隔層;在第二間隔層上方沉積第三間隔層;將第一間隔層、第二間隔層和第三間隔層圖案化以分別形成第一閘極間隔物、第二閘極間隔物和第三閘極間隔物;在與第三閘極間隔物相鄰之虛設閘極的兩側磊晶成長源極/汲極區;以金屬閘極取代虛設閘極;以及在取代虛設閘極之後,在低於0℃的溫度下使用蝕刻製程移除第二閘極間隔物和第三閘極間隔物,第二閘極間隔物和第三閘極間隔物的移除形成空隙,空隙暴露出第一閘極間隔物和源極/汲極區的表面。
50:基底
50N,50P:區域
51:分隔物
52:鰭片
54:絕緣材料
56:淺溝槽隔離區
58:通道區
60:虛設介電層
62:虛設閘極層
64:遮罩層
72:虛設閘極
74:遮罩
80:第一閘極間隔物
82:第二閘極間隔物
84:第三閘極間隔物
92:磊晶源極/汲極區
93:空隙
94:第一接觸蝕刻停止層
96:第一層間介電質
98:硬遮罩
100:凹槽
101:區域
102:閘極介電層
104:閘極電極
104A:襯層
104B:功函數調整層
104C:填充材料
106:固態蝕刻膜
108:液態蝕刻膜
110:氣體間隔物
112:第一介電層
114:閘極遮罩
116:第二層間介電質
118:閘極接觸件
120:源極/汲極接觸件
A-A’,B-B’,C-C’,D-D’,E-E’:剖面
H1:高度
W1:寬度
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。
第1圖根據一些實施例以三維示意圖繪示鰭式場效電晶體的範例。
第2、3、4、5、6、7、8A~8D、9A~9D、10A~10D、11A~11E、12A~12D、13A~13D、14A~14D、15A~15E、16A~16D、17A~17D、18A~18E、19A~19D、20A~20D和21A~21D圖是根據一些實施例之鰭式場效電晶體的製造期間的中間階段的剖面示意圖。
以下內容提供許多不同實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用於限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件上或上方,可能包含形成第一部件和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一部件和第二部件之間,使得第一部件和第二部件不直接接觸的實施例。另外,本發明實施例在不同範例中可重複使用參考標號及/或字母。此重複是為了簡化和清楚之目的,並非代表所討論的不同實施例及/或組態之間有特定的關係。
此外,本文可能使用空間相對用語,例如「在......之下」、「在......下方」、「下方的」、「在......上方」、「上方的」及類似的用詞,這些空間相對用語係為了便於描述如圖所示之一個(些)元件或部件與另一個(些)元件或部件之間的關係。這些空間相對用語包含使用中或操作中的裝置 之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則在此所使用的空間相對形容詞也將依轉向後的方位來解釋。
各個實施例提供了用於在半導體裝置中形成氣體間隔物之改善的製程。舉例來說,可以使用在小於0℃的溫度下的蝕刻製程來移除各種虛設閘極間隔層。蝕刻製程可以使用例如氟化氫的蝕刻劑和例如水、乙醇或類似的材料的催化劑。在小於0℃的溫度下進行蝕刻製程可以提高蝕刻製程相對於不想要被蝕刻製程蝕刻之結構的蝕刻選擇性。這降低裝置缺陷,並提升由改善製程形成之完成的半導體裝置的效能。
第1圖根據一些實施例以三維示意圖繪示鰭式場效電晶體的範例。鰭式場效電晶體包含在基底50(例如半導體基底)上的鰭片52。淺溝槽隔離(Shallow trench isolation,STI)區56設置在基底50中,並且鰭片52從相鄰的淺溝槽隔離區56之間突出並突出高於淺溝槽隔離區56。雖然將淺溝槽隔離區56被描述/繪示為與基底50隔開,但如本文所用,用語「基底」可以僅指半導體基底或包含隔離區的半導體基底。另外,雖然鰭片52被繪示為基底50之單一、連續材料,但鰭片52及/或基底50可以包含單一材料或多種材料。在本文中,鰭片52是指在相鄰的隔離區56之間延伸的部分。
閘極介電層102沿著鰭片52的側壁並位於鰭片52的頂表面上方,並且閘極電極104位於閘極介電層102上方。磊晶源極/汲極區92相對於閘極介電層102和閘極電極104設置在鰭片52的兩側。第1圖進一步繪示後圖使用的參考剖面。剖面A-A’沿著閘極電極104之一的縱軸,並且方向例如垂直於鰭式場效電晶體的磊晶源極/汲極區92之間的電流流動方向。剖面B-B’垂直於剖面A-A’並沿著鰭片52之一的縱軸,並且方向例如在於鰭式場效電晶體的磊晶源極/汲極區 92之間的電流流動方向。剖面C-C’平行於剖面A-A’,並延伸穿過鰭式場效電晶體的磊晶源極/汲極區92。剖面D-D’平行於剖面B-B’,並延伸穿過鰭式場效電晶體的閘極電極104。剖面E-E’垂直於剖面A-A’、B-B’、C-C’和D-D’,平行於基底50的主表面,並延伸穿過鰭片52和閘極電極104。為了清楚起見,後圖參照這些參考剖面。
在此討論的一些實施例是在使用閘極後製(gate-last)製程形成的鰭式場效電晶體的背景下討論的。在其他實施例中,可以使用閘極先製(gate-first)製程。此外,一些實施例考慮了在例如平面場效電晶體的平面裝置中使用的面向。
第2圖至第21D圖是根據一些實施例之在鰭式場效電晶體的製造期間的中間階段的剖面示意圖。第2圖至第7圖繪示第1圖所示之參考剖面A-A’,除了多個鰭片/鰭式場效電晶體之外。第8A、9A、10A、11A、12A、13A、14A、15A、16A、17A、18A、19A、20A和21A圖係沿著第1圖所示之參考剖面A-A’繪示。第8B、9B、10B、11B、12B、13B、14B、15B、15E、16B、17B、18B、19B、20B和21B圖係沿著第1圖所示之參考剖面B-B’繪示。第8C、9C、10C、11C、11E、12C、13C、14C、15C、16C、17C、18C、19C、20C和21C圖係沿著第1圖所示之參考剖面C-C’繪示。第8D、9D、10D、11D、12D、13D、14D、15D、16D、17D、18D、19D、20D和21D圖係沿著第1圖所示之參考剖面D-D’繪示。第18E圖係沿著第1圖所示之參考剖面E-E’繪示。
在第2圖中,提供基底50。基底50可以是半導體基底,例如塊體(bulk)半導體基底、絕緣體上覆半導體(semiconductor-on-insulator,SOI) 基底或類似的基底,其可以被摻雜(例如用p型或n型摻質)或不摻雜。基底50可以是晶圓,例如矽晶圓。總體而言,絕緣體上覆半導體基底是在絕緣層上形成的一層半導體材料層。舉例來說,絕緣層可以是埋藏氧化物(buried oxide,BOX)層、氧化矽層或類似的膜層。在通常是矽或玻璃基底的基底上提供絕緣層。也可以使用其他基底,例如多層或漸變基底。在一些實施例中,基底50的半導體材料可以包含矽;鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或前述之組合。
基底50具有區域50N和區域50P。區域50N可用於形成n型裝置,例如N型金屬氧化物半導體(NMOS)電晶體,像是n型鰭式場效電晶體。區域50P可用於形成p型裝置,例如P型金屬氧化物半導體(PMOS)電晶體,像是p型鰭式場效電晶體。區域50N可以與區域50P物理性隔開(以分隔物51繪示),並且可以在區域50N與區域50P之間設置任何數量的裝置部件(例如其他主動裝置、摻雜區、隔離結構等)。
在第3圖中,在基底50中形成鰭片52。鰭片52是半導體條。在一些實施例中,可以藉由在基底50中蝕刻出溝槽來在基底50中形成鰭片52。蝕刻可以是任何合適的蝕刻製程,例如反應離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)、類似的蝕刻製程或前述之組合。蝕刻可以是非等向性的(anisotropic)。如第3圖所示,基底50可以包含成對的鰭片52。每個成對的鰭片52中的鰭片52可以分開約48nm至約56nm的距離,並且一對鰭片52與相鄰對的鰭片52分開約48nm至約56nm的距離。
可以藉由任何合適的方法將鰭片52圖案化。舉例來說,鰭片52 的圖案化可以使用一或多種光學微影(photolithography)製程,包含雙重圖案化或多重圖案化製程。總體而言,雙重圖案化或多重圖案化製程結合光學微影和自對準製程,允許產生的圖案的例如節距(pitches)小於使用單一、直接光學微影製程可獲得的圖案的節距。舉例來說,在一實施例中,在基底上方形成犧牲層,並使用光學微影製程將犧牲層圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。然後移除犧牲層,接著可以使用剩餘的間隔物將鰭片52圖案化。
在第4圖中,在基底50上方和相鄰鰭片52之間形成絕緣材料54。絕緣材料54可以是氧化物,例如氧化矽、氮化物、類似的材料或前述之組合,並且絕緣材料54的形成可以藉由高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、可流動式化學氣相沉積(flowable chemical vapor deposition,FCVD)(例如在遠距電漿系統中的以化學氣相沉積(chemical vapor deposition,CVD)為主的材料沉積,以及後固化以使其轉化為另一種材料,例如氧化物)、類似的方法或前述之組合。可以使用藉由任何合適的方法形成的其他絕緣材料。在繪示的實施例中,絕緣材料54是由可流動式化學氣相沉積製程所形成的氧化矽。一旦形成絕緣材料54,就可以進行退火製程。在一實施例中,形成絕緣材料54使多餘的絕緣材料54覆蓋鰭片52。雖然絕緣材料54被繪示為單層,但一些實施例可以利用多層結構。舉例來說,在一些實施例中,可以先沿著基底50和鰭片52的表面形成襯層(liner)(未繪示)。此後,可以在襯層上方形成填充材料,例如上述那些材料。
在第5圖中,對絕緣材料54施加移除製程以移除鰭片52上方的多餘絕緣材料54。在一些實施例中,可以利用平坦化製程,例如化學機械研磨 (chemical mechanical polish,CMP)、回蝕刻(etch back)製程、前述之組合或類似的製程。平坦化製程暴露出鰭片52,使得在完成平坦化製程之後,絕緣材料54和鰭片52的頂表面是齊平的。
在第6圖中,凹蝕絕緣材料54以形成淺溝槽隔離區56。凹蝕絕緣材料54使得區域50N和區域50P中的鰭片52的上部從相鄰的淺溝槽隔離區56之間突出。此外,淺溝槽隔離區56的頂表面可以具有如圖所示之平坦表面、凸表面、凹表面(例如碟狀(dishing))或前述之組合。可以藉由適當的蝕刻將淺溝槽隔離區56的頂表面形成為平坦的、凸的及/或凹的。淺溝槽隔離區56的凹蝕可以使用合適的蝕刻製程,例如對絕緣材料54的材料具有選擇性的蝕刻製程(例如以比鰭片52的材料更快的速率蝕刻絕緣材料54的材料)。舉例來說,以適當的蝕刻製程移除化學氧化物,蝕刻製程例如可以使用稀釋的氫氟酸(dilute hydrofluoric,dHF)。
以上描述的製程僅是可以如何形成鰭片52的一個範例。在一些實施例中,可以藉由磊晶成長製程形成鰭片。舉例來說,可以在基底50的頂表面上方形成介電層,並且可以蝕刻出穿過介電層的溝槽以暴露出下方的基底50。可以在溝槽中磊晶成長同質磊晶(Homoepitaxial)結構,並且可以凹蝕介電層,使得同質磊晶結構從介電層突出以形成鰭片52。另外,在一些實施例中,異質磊晶(heteroepitaxial)結構可用於鰭片52。舉例來說,可以凹蝕第5圖中的鰭片52,並且可以在凹蝕的鰭片52上方磊晶成長與鰭片52不同的材料。在這樣的實施例中,鰭片52包含凹蝕的材料以及設置在凹蝕的材料上方的磊晶成長材料。在另一實施例中,可以在基底50的頂表面上方形成介電層,並且可以蝕刻出穿過介電層的溝槽。然後,可以使用不同於基底50的材料在溝槽中磊 晶成長異質磊晶結構,並且可以凹蝕介電層,使得異質磊晶結構從介電層突出以形成鰭片52。在一些磊晶成長同質磊晶或異質磊晶結構的實施例中,可以在成長期間原位(in situ)摻雜磊晶成長的材料,其可以免除先前和後續的佈植,雖然可以一起使用原位和佈植摻雜。
更進一步,在區域50N(例如NMOS區域)中磊晶成長的材料不同於區域50P(例如PMOS區域)中的材料可以是有利的。在不同實施例中,鰭片52的上部可以由矽鍺(SixGe1-x,其中x可以在0至1的範圍)、碳化矽、純或大致上純的鍺、III-V族化合物半導體、II-VI化合物半導體或類似的材料所形成。舉例來說,用於形成III-V族化合物半導體的可用材料包含但不限於InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP和類似的材料。
進一步在第6圖中,可以在鰭片52及/或基底50中形成適當的井(未單獨繪示)。在一些實施例中,可以在區域50N中形成P井,並且可以在區域50P中形成N井。在一些實施例中,在區域50N和區域50P兩者中形成P井或N井。
在具有不同井類型的實施例中,可以使用光阻或其他遮罩(未單獨繪示)來實現用於區域50N和區域50P的不同佈植步驟。舉例來說,可以在區域50N中的淺溝槽隔離區56和鰭片52上方形成光阻。將光阻圖案化以暴露出基底50的區域50P,例如PMOS區。可以藉由使用旋轉塗佈(spin-on)技術來形成光阻,並且可以使用合適的光學微影技術將光阻圖案化。一旦圖案化光阻,就在區域50P中進行n型雜質佈植,並且光阻可以作為遮罩以大致上防止n型雜質被佈植到例如NMOS區的區域50N中。n型雜質可以是磷、砷或類似的雜質, 佈植到區域中的濃度等於或小於1018cm-3,例如約1017cm-3至約1018cm-3。在佈植之後,可以移除光阻,例如藉由合適的灰化(ashing)製程。
在佈植區域50P之後,在區域50P中的淺溝槽隔離區56和鰭片52上方形成光阻。將光阻圖案化以暴露出基底50的區域50N,例如NMOS區。可以藉由使用旋轉塗佈技術來形成光阻,並且可以使用合適的光學微影技術將光阻圖案化。一旦圖案化光阻,就可以在區域50N中進行p型雜質佈植,並且光阻可以作為遮罩以大致上防止p型雜質被佈植到例如PMOS區的區域50P中。p型雜質可以是硼、BF2或類似的雜質,佈植到區域中的濃度等於或小於1018cm-3,例如約1017cm-3至約1018cm-3。在佈植之後,可以移除光阻,例如藉由合適的灰化製程。
在佈植區域50N和區域50P之後,可以進行退火以活化佈植的p型及/或n型雜質。在一些實施例中,可以在成長期間原位摻雜磊晶鰭片的成長材料,其可以免除佈植,雖然可以一起使用原位和佈植摻雜。
在第7圖中,在鰭片52上形成虛設介電層60。虛設介電層60可以是例如氧化矽、氮化矽、前述之組合或類似的材料,並且可以根據合適的技術沉積或熱成長。在虛設介電層60上方形成虛設閘極層62,並在虛設閘極層62上方形成遮罩層64。可以在虛設介電層60上方沉積虛設閘極層62,然後將虛設閘極層62平坦化,例如藉由化學機械研磨。可以在虛設閘極層62上方沉積遮罩層64。虛設閘極層62可以是導電材料,並且可以選自包含非晶矽、多晶矽(polysilicon)、多晶矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物和金屬的群組。虛設閘極層62的沉積可以藉由物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、濺鍍(sputter)沉積或本領域已知且 用於沉積導電材料的其他技術。虛設閘極層62可以由對隔離區的蝕刻具有高蝕刻選擇性的其他材料形成。遮罩層64可以包含例如SiN、SiON或類似的材料。在此範例中,橫跨區域50N和區域50P形成單個虛設閘極層62和單個遮罩層64。應注意的是,繪示的虛設介電層60僅覆蓋鰭片52僅用於說明的目的。在一些實施例中,可以沉積虛設介電層60,使得虛設介電層60覆蓋淺溝槽隔離區56、在虛設閘極層62和淺溝槽隔離區56之間延伸。
第8A圖至第21D圖繪示實施例裝置的製造中的各種額外步驟。第8A圖至第21D圖繪示區域50N和區域50P中的任何一個中的部件。舉例來說,第8A圖至第21D圖所示之結構可以適用於區域50N和區域50P。在伴隨每個圖式的文字中描述區域50N和區域50P的結構上的差異(如果有的話)。
在第8A~8D圖中,可以使用合適的光學微影和蝕刻技術來將遮罩層64(參見第7圖)圖案化以形成遮罩74。然後可以將遮罩74的圖案轉移到虛設閘極層62上以形成虛設閘極72。遮罩74的圖案也可以藉由合適的蝕刻技術轉移到虛設介電層60。虛設閘極72覆蓋鰭片52的各個通道區58。遮罩74的圖案可以用於將每個虛設閘極72與相鄰的虛設閘極物理地分開。虛設閘極72的長度方向還可以大致上垂直於相應的磊晶鰭片52的長度方向。虛設閘極72、遮罩74和虛設介電層60的組合可以被稱為虛設閘極堆疊76。虛設閘極堆疊76可以與相鄰的虛設閘極堆疊分開約80nm至約100nm的距離。
在第9A~9D圖中,在虛設閘極堆疊76及/或鰭片52的露出表面上形成第一閘極間隔物80、第二閘極間隔物82和第三閘極間隔物84。第一閘極間隔物80的形成可以藉由順應性(conformal)沉積製程,例如原子層沉積(atomic layer deposition,ALD)、化學氣相沉積或類似的製程。第一閘極間 隔物80可以包含絕緣材料,例如氮碳化矽、氧氮化矽、前述之多層或組合、或類似的材料。第一閘極間隔物80的厚度可以為約3nm至約7nm,例如約5nm。將第一閘極間隔物80形成為所定範圍之外的厚度可能會影響完整的NSFET的半導體特性,例如電容、通道電阻以及磊晶源極/汲極區(例如以下參照第11A~11E圖討論之磊晶源極/汲極區92)的尺寸。
可以藉由順應性沉積製程在第一閘極間隔物80上方形成第二閘極間隔物82,例如原子層沉積、化學氣相沉積或類似的製程。第二閘極間隔物82可以包含絕緣材料,例如氧化矽、氮化矽、氮碳氧化矽、前述之多層或組合、或類似的材料。第二閘極間隔物82的厚度可以為約2nm至約6nm,例如約4nm。將第二閘極間隔物82形成為所定範圍之外的厚度可能會影響完整的NSFET的半導體特性,例如電容、通道電阻以及磊晶源極/汲極區(例如以下參照第11A~11E圖討論之磊晶源極/汲極區92)的尺寸。
可以藉由順應性沉積製程在第二閘極間隔物82上方形成第三閘極間隔物84,例如原子層沉積、化學氣相沉積或類似的製程。第三閘極間隔物84可以包含絕緣材料,例如氮化矽、氧化矽、氮碳氧化矽、前述之多層或組合、或類似的材料。第三閘極間隔物84的厚度可以為約2nm至約5nm,例如約4nm。將第三閘極間隔物84形成為所定範圍之外的厚度可能會影響完整的NSFET的半導體特性,例如電容、通道電阻以及磊晶源極/汲極區(例如以下參照第11A~11E圖討論之磊晶源極/汲極區92)的尺寸。
第一閘極間隔物80可以由具有與第二閘極間隔物82和第三閘極間隔物84的材料不同的蝕刻選擇性的材料形成。如此一來,可以在不移除第一閘極間隔物80的情況下移除第二閘極間隔物82和第三閘極間隔物84。第二閘極 間隔物82和第三閘極間隔物84可以由相同或不同的材料形成,並且可以具有彼此相同或不同的蝕刻選擇性。在形成輕摻雜的源極/汲極區(以下參照第10A~10D圖討論)期間,第一閘極間隔物80和第二閘極間隔物82可用於遮蔽基底50的一部分。第三閘極間隔物84可用於控制磊晶源極/汲極區(例如以下參照第11A~11E圖討論之磊晶源極/汲極區92)的成長。
在第10A~10D圖中,蝕刻第一閘極間隔物80、第二閘極間隔物82和第三閘極間隔物84。第一閘極間隔物80、第二閘極間隔物82和第三閘極間隔物84的蝕刻可以藉由非等向性蝕刻製程、等向性蝕刻製程或非等向性和等向性蝕刻製程的任意組合。如第10B~10D圖所示,第一閘極間隔物80、第二閘極間隔物82和第三閘極間隔物84的剩餘部分可以保持鄰近鰭片52並鄰近虛設閘極堆疊76。
具體而言,在第10A圖中,從遮罩74的頂表面移除第一閘極間隔物80、第二閘極間隔物82和第三閘極間隔物84。在第10B圖中,從鰭片52的頂表面和虛設閘極堆疊76的表面和側壁移除第三閘極間隔物84。進一步在第10B圖中,從虛設閘極堆疊76和鰭片52的頂表面移除第二閘極間隔物82和第一閘極間隔物80,並且第二閘極間隔物82和第一閘極間隔物80保留在虛設閘極堆疊76的側壁上。在第10C圖中,從一對鰭片52的頂表面和外側壁以及一對鰭片52之外的淺溝槽隔離區56的頂表面移除第三閘極間隔物84。進一步在第10C圖中,第三閘極間隔物84保留在一對鰭片52的內側壁上,並在淺溝槽隔離區56上方的相鄰鰭片52之間連續地延伸。從鰭片52的頂表面和側壁的上部以及在一對鰭片52之外的淺溝槽隔離區56的頂表面移除第二閘極間隔物82和第一閘極間隔物80。同樣在第10C圖中,第一閘極間隔物80和第二閘極間隔物82保留在鰭片52 的側壁的下部上,並在淺溝槽隔離區56上方的相鄰鰭片52之間連續地延伸。在第10D圖中,從虛設閘極堆疊76的頂表面和側壁的上部移除第三閘極間隔物84,並且第三閘極間隔物84保留在虛設閘極堆疊76的側壁的下部上,並在淺溝槽隔離區56上方之相鄰的虛設閘極堆疊76之間連續地延伸。進一步在第10D圖中,從虛設閘極堆疊76的頂表面移除第一閘極間隔物80和第二閘極間隔物82,並且第一閘極間隔物80和第二閘極間隔物82保留在虛設閘極堆疊的側壁上並在淺溝槽隔離區56上方之相鄰的虛設閘極堆疊76之間連續地延伸。
在蝕刻第一閘極間隔物80、第二閘極間隔物82和第三閘極間隔物84之後,第三閘極間隔物84的剩餘部分可用於控制磊晶源極/汲極區(例如以下參照第11A~11E圖討論之磊晶源極/汲極區92)的磊晶成長。如此一來,可以根據磊晶源極/汲極區92的期望形狀來圖案化第三閘極間隔物84。如第10C圖所示,設置在鰭片52的內側壁上的第一閘極間隔物80和第二閘極間隔物82的部分的高度可以大於設置在鰭片52的外側壁上的第一閘極間隔物80和第二閘極間隔物82的部分的高度。此高度差是由保護第二閘極間隔物82和第一閘極間隔物80的第三閘極間隔物84造成的,鰭片52遮蔽鰭片52之間的區域,蝕刻劑更容易在鰭片52外的第二閘極間隔物82和第一閘極間隔物80的部分周圍流動,比起設置在鰭片52內的部分等。可以以任何期望的順序形成和蝕刻第一閘極間隔物80、第二閘極間隔物82和第三閘極間隔物84。舉例來說,在一實施例中,可以在形成第二閘極間隔物82和第三閘極間隔物84之前形成並蝕刻第一閘極間隔物80。
可以在形成和蝕刻第一閘極間隔物80、第二閘極間隔物82和第三閘極間隔物84期間的任何時間進行用於輕摻雜源極/汲極(lightly doped source/drain,LDD)區(未單獨繪示)的佈植。舉例來說,在一些實施例中,可以在形成第一閘極間隔物80之後、在形成第二閘極間隔物82和第三閘極間隔物84之前佈植輕摻雜源極/汲極區。在具有不同裝置類型的實施例中,類似於以上在第6圖討論的佈植,可以在區域50N上方形成遮罩,例如光阻,同時暴露出區域50P,並且可以將適當類型(例如p型)的雜質佈植到區域50P中的露出鰭片52中。然後可以移除遮罩。隨後,可以在區域50P上方形成遮罩,例如光阻,同時暴露出區域50N,並且可以將適當類型(例如n型)的雜質佈植到區域50N中的露出鰭片52中。然後可以移除遮罩。n型雜質可以是先前討論的任何n型雜質,並且p型雜質可以是先前討論的任何p型雜質。輕摻雜的源極/汲極區可以具有約1015cm-3至約1016cm-3的雜質濃度。可以使用退火來活化佈植的雜質。
在第11A~11E圖中,在鰭片52中形成磊晶源極/汲極區92。磊晶源極/汲極區92可以在各個通道區58中施加應力,藉此提升效能。磊晶源極/汲極區92形成在鰭片52中,使得每個虛設閘極72設置在磊晶源極/汲極區92的各自的相鄰對之間。在一些實施例中,磊晶源極/汲極區92可以延伸到鰭片52,並且也可以穿過鰭片52。在一些實施例中,第一閘極間隔物80、第二閘極間隔物82和第三閘極間隔物84用於以適當的橫向距離將磊晶源極/汲極區92與虛設閘極72隔開,使磊晶源極/汲極區92不會與隨後形成的鰭式場效電晶體的閘極短路。
區域50N(例如NMOS區)中的磊晶源極/汲極區92的形成可以藉由遮蔽區域50P(例如PMOS區),並蝕刻區域50N中的鰭片52的源極/汲極區來形成鰭片52中的凹槽。然後,在凹槽中磊晶成長區域50N中的磊晶源極/汲極區92。磊晶源極/汲極區92可以包含任何合適的材料,例如適合於n型鰭式場效電晶體。舉例來說,如果鰭片52是矽,則區域50N中的磊晶源極/汲極區92可以包 含在通道區58中施加拉伸應變的材料,例如矽、SiC、SiCP、SiP或類似的材料。區域50N中的磊晶源極/汲極區92可以具有從鰭片52的相應表面突起的表面並且可以具有刻面(facet)。
區域50P(例如PMOS區)中的磊晶源極/汲極區92的形成可以藉由遮蔽區域50N(例如NMOS區),並蝕刻區域50P中的鰭片52的源極/汲極區來形成鰭片52中的凹槽。然後,在凹槽中磊晶成長區域50P中的磊晶源極/汲極區92。磊晶源極/汲極區92可以包含任何合適的材料,例如適合於p型鰭式場效電晶體。舉例來說,如果鰭片52是矽,則區域50P中的磊晶源極/汲極區92可以包含在通道區58中施加壓縮應變的材料,例如SiGe、SiGeB、Ge、GeSn或類似的材料。區域50P中的磊晶源極/汲極區92還可以具有從鰭片52的相應表面突起的表面並且可以具有刻面。
可以用摻質佈植磊晶源極/汲極區92及/或鰭片52以形成源極/汲極區,類似於先前討論的用於形成輕摻雜源極/汲極區,然後進行退火的製程。磊晶源極/汲極區92可以具有約1019cm-3至約1021cm-3的雜質濃度。用於源極/汲極區的n型及/或p型雜質可以是先前討論的任何雜質。在一些實施例中,可以在成長期間原位摻雜磊晶源極/汲極區92。
作為用於在區域50N和區域50P中形成磊晶源極/汲極區92的磊晶製程的結果,磊晶源極/汲極區92的上表面具有刻面,這些刻面橫向向外擴展超過鰭片52的側壁。在一些實施例中,這些刻面使同一鰭式場效電晶體的相鄰磊晶源極/汲極區92合併,如第11C圖所示。第11D圖繪示磊晶源極/汲極區92的合併部分的剖面示意圖,如圖所示,磊晶源極/汲極區92的合併部分可以具有總體上球形的形狀,例如圓形或橢圓形。如第11C和11D圖所示,第一閘極間隔物 80、第二閘極間隔物82和第三閘極間隔物84的剩餘部分的一部分可以設置在磊晶源極/汲極區92的合併部分下方。在其他實施例中,例如第11E圖所示之實施例,在完成磊晶製程之後,相鄰的磊晶源極/汲極區92保持分離。
如第11C和11D圖進一步所示,可以在磊晶源極/汲極區92和第三閘極間隔物84之間的磊晶源極/汲極區92下方形成空隙93。空隙93的形成可以藉由使用選擇性的磊晶成長製程來形成磊晶源極/汲極區92。如將在以下更詳細討論的,空隙93可以成為氣體間隔物(例如參照第20A~20D圖討論之氣體間隔物110)的一部分。
在第12A~12D圖中,在第11A~11D圖所示的結構上方沉積第一層間介電質96。第一層間介電質96可以由介電材料形成,並且可以藉由任何合適的方法來沉積,例如化學氣相沉積、電漿輔助化學氣相沉積(plasma-enhanced CVD,PECVD)或可流動式化學氣相沉積。介電材料可以包含磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)或類似的材料。可以使用藉由任何合適的製程形成的其他絕緣材料。
在一些實施例中,在第一層間介電質96和磊晶源極/汲極區92、遮罩74、第一閘極間隔物80、第二閘極間隔物82和第三閘極間隔物84之間設置第一接觸蝕刻停止層(contact etch stop layer,CESL)94。第一接觸蝕刻停止層94可以包含絕緣材料,例如SiN、SiCN、SiON、前述之多層或組合或類似的材料。可以藉由順應性沉積方法來沉積第一接觸蝕刻停止層94,例如化學氣相沉積、原子層沉積或類似的製程。第一接觸蝕刻停止層94可以由與第二閘極間隔 物82和第三閘極間隔物84的材料具有不同的蝕刻選擇性的材料形成。如此一來,可以在不移除第一接觸蝕刻停止層94的情況下移除第二閘極間隔物82和第三閘極間隔物84。在一些實施例中,第一接觸蝕刻停止層94可以由與第一閘極間隔物80相同的材料形成。
在第13A~13D圖中,可以對第一層間介電質96進行平坦化製程,例如化學機械研磨。在一些實施例中,可以使用平坦化製程來使第一層間介電質96的頂表面與虛設閘極72的頂表面齊平。在另外的實施例中,平坦化製程可用於使第一層間介電質96的頂表面與遮罩74的頂表面齊平。平坦化製程也可用於移除第一接觸蝕刻停止層94、第一閘極間隔物80和第二閘極間隔物82的一部分,使得在平坦化製程之後,第一層間介電質96的頂表面也可以與第一接觸蝕刻停止層94、第一閘極間隔物80和第二閘極間隔物82的頂表面齊平。
在第14A~14D圖中,在蝕刻步驟中移除虛設閘極72和遮罩74(如果存在),藉此形成凹槽100。也可以移除虛設閘極72下方的虛設介電層60的一部分。在一些實施例中,只移除虛設閘極72,保留虛設介電層60並由凹槽100暴露出來。在一些實施例中,虛設介電層60從晶粒的第一區(例如核心邏輯區)中的凹槽100被移除並保留在晶粒的第二區(例如輸入/輸出區)中的凹槽100中。在一些實施例中,藉由非等向性乾式蝕刻製程移除虛設閘極72。舉例來說,蝕刻製程可以包含使用反應氣體的乾式蝕刻製程,其選擇性地蝕刻虛設閘極72而不蝕刻第一層間介電質96、第一接觸蝕刻停止層94、第一閘極間隔物80或第二閘極間隔物82。每個凹槽100暴露出相應的鰭片52的通道區58。每個通道區58設置在磊晶源極/汲極區92的相鄰對之間。在移除期間,當蝕刻虛設閘極72時,虛設介電層60可以作為蝕刻停止層。然後可以在移除虛設閘極72 之後可選地移除虛設介電層60。
在第15A~15E圖中,形成用於取代閘極的閘極介電層102和閘極電極104。第15E圖繪示第15B圖的區域101的詳細示意圖。閘極介電層102順應性地沉積在凹槽100中(如第15B和15D圖所示),例如在鰭片52的頂表面和側壁上以及在第一閘極間隔物80的側壁上。閘極介電層102還可以形成在硬遮罩98、第一接觸蝕刻停止層94和淺溝槽隔離區56的頂表面上。根據一些實施例,閘極介電層102包含氧化矽、氮化矽或前述之多層結構。在一些實施例中,閘極介電層102包含高介電常數介電材料,並且在這些實施例中,閘極介電層102可以具有大於約7.0的介電常數值,並且可以包含Hf、Al、Zr、La、Mg、Ba、Ti、Pb及前述之組合的矽化物或金屬氧化物。閘極介電層102的形成方法可以包含分子束沉積(molecular-beam deposition,MBD)、原子層沉積、電漿輔助化學氣相沉積或類似的製程。在虛設介電層60的一部分保留在凹槽100中的實施例中,閘極介電層102包含虛設介電層60的材料(例如SiO2)。
閘極電極104分別沉積在閘極介電層102上方,並填充凹槽100的剩餘部分。閘極電極104可以包含含金屬的材料,例如TiN、TiO、TaN、TaC、Co、Ru、Al、W、前述之組合或前述之多層結構。舉例來說,雖然在第15A、15B和15D圖中繪示單層閘極電極104,但閘極電極104可以包含任意數量的襯層104A、任意數量的功函數調整層104B和填充材料104C,如第15E圖所示。在填充閘極電極104之後,可以進行平坦化製程(例如化學機械研磨)以移除閘極介電層102的多餘部分和閘極電極104的材料,這些多餘部分在硬遮罩98的頂表面上方。閘極介電層102和閘極電極104的材料的剩餘部分因此形成所得到的鰭式場效電晶體的取代閘極。閘極電極104和閘極介電層102可以被統稱為「閘極 堆疊」。閘極和閘極堆疊可以沿著鰭片52的通道區58的側壁延伸。閘極堆疊的閘極高度可以為約10nm至約60nm,例如約40nm。
區域50N和區域50P中的閘極介電層102的形成可以同時發生,使得每個區域中的閘極介電層102由相同的材料形成,並且閘極電極104的形成可以同時發生,使得每個區域中的閘極電極104由相同的材料形成。在一些實施例中,每個區域中的閘極介電層102可以由不同的製程形成,使得閘極介電層102可以是不同的材料,及/或每個區域中的閘極電極104可以由不同的製程形成,使得閘極電極104可以是不同的材料。當使用不同的製程時,可以使用各種遮罩步驟來遮蔽並暴露出適當的區域。
在第16A~16D圖中,回蝕刻第一層間介電質96並在第一層間介電質96上方形成硬遮罩98。第一層間介電質96的回蝕刻可以使用非等向性蝕刻製程(例如反應離子蝕刻、中性束蝕刻或類似的製程)或等向性蝕刻製程(例如濕式蝕刻製程)。可以相對於閘極堆疊的高度的距離來回蝕刻第一層間介電質96,例如閘極堆疊的高度的約1/10至約1/2。然後可以使用化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、濺鍍或類似的方法將硬遮罩98沉積在所得到的結構上,並使用例如化學機械研磨的製程將其平坦化。如第16B和16D圖所示,在硬遮罩98的平坦化之後,硬遮罩98的頂表面可以與第一接觸蝕刻停止層94、第一閘極間隔物80、第二閘極間隔物82、閘極介電層102和閘極電極104的頂表面齊平。用於平坦化硬遮罩98的平坦化製程還可以將閘極介電層102和閘極電極104平坦化,使得平坦化之後的閘極堆疊的高度為約10nm至約50nm。硬遮罩98可以由例如氮化矽、氧化矽、碳氧化矽、氮碳化矽、前述之組合或多層結構或類似的材料形成。可以在第一層間介電質96上方形成硬遮罩98,以保 護第一層間介電質96不會受到用於移除第二閘極間隔物82和第三閘極間隔物84的蝕刻製程的影響(以下參照第17A~18D圖討論)。
第17A~17D圖繪示移除第二閘極間隔物82和第三閘極間隔物84的中間階段,完成如第18A~18E圖所示。雖然在第17A~17D圖中未單獨繪示,但蝕刻製程可以蝕刻穿過第二閘極間隔物82以暴露出第三閘極間隔物84,然後可以蝕刻第三閘極間隔物84。蝕刻製程可以是等向性蝕刻製程。蝕刻製程可以使用包含蝕刻劑物質和催化劑物質的蝕刻溶液。蝕刻劑物質可以包含氟化氫或類似的材料。催化劑物質可以包含水、乙醇、前述之組合或類似的材料。可以以約50標準立方公分每分鐘(SCCM)至約700SCCM的流速提供蝕刻劑物質。在催化劑物質包含水的實施例中,可以以約300毫克/分鐘(MGM)至約1800MGM的流速提供催化劑物質。在催化劑物質包含乙醇的實施例中,可以以約100SCCM至約800SCCM的流速供應催化劑物質。蝕刻劑物質和催化劑物質可以以液體、氣體等形式提供。在特定實施例中,可以以氣體供應蝕刻劑物質,並且可以以液體供應催化劑物質。
第16A~16D圖所示的整個結構可以暴露於蝕刻溶液。可以在低溫的處理腔室中進行蝕刻製程,例如低於0℃的溫度、約-30℃至約30℃的溫度、約-30℃至約0℃的溫度、約-20℃的溫度或類似的溫度。可以將處理腔室維持在約1托至約20托的壓力下。如第17A圖所示,蝕刻溶液可以沿著硬遮罩98、第一接觸蝕刻停止層94、第一閘極間隔物80、閘極介電層102和閘極電極104的表面形成固態蝕刻膜106。蝕刻溶液可以沿著第二閘極間隔物82和第三閘極間隔物84的表面形成液態蝕刻膜108。藉由蝕刻第二閘極間隔物82和第三閘極間隔物84而形成的中間產物和蝕刻劑物質可以降低蝕刻溶液的凝固點,使得 蝕刻溶液僅沿著存在中間產物之第二閘極間隔物82和第三閘極間隔物84的表面形成液態蝕刻膜。可以控制蝕刻製程期間的溫度以及蝕刻劑物質和催化劑物質的流速,以控制存在於要被蝕刻的結構的表面上的相(例如以控制固態蝕刻膜106和液態蝕刻膜108的擴展)。
在低溫進行蝕刻製程使蝕刻溶液形成固態蝕刻膜106和液態蝕刻膜108可以降低結構的蝕刻速率,以保持相對於第二閘極間隔物82和第三閘極間隔物84的蝕刻速率。舉例來說,在低溫進行蝕刻製程可以降低硬遮罩98、第一閘極間隔物80、閘極介電層102、閘極電極104、第一接觸蝕刻停止層94和磊晶源極/汲極區92(例如設置固態蝕刻膜106的結構)的蝕刻速率,相對於第二閘極間隔物82和第三閘極間隔物84(例如設置液態蝕刻膜108的結構)的蝕刻速率。具體而言,沿著硬遮罩98、第一閘極間隔物80、閘極介電層102、閘極電極104、第一接觸蝕刻停止層94和磊晶源極/汲極區92的表面存在固態蝕刻膜106可以減少移除從硬遮罩98、第一閘極間隔物80、閘極介電層102、閘極電極104、第一接觸蝕刻停止層94或磊晶源極/汲極區92蝕刻的任何產品。這增加了蝕刻的蝕刻選擇性並減少由蝕刻製程造成的來自硬遮罩98、第一閘極間隔物80、閘極介電層102、閘極電極104、第一接觸蝕刻停止層94和磊晶源極/汲極區92的材料損失。使用蝕刻製程還可以減少對閘極堆疊的損壞,例如輪廓彎曲等。這降低了由上述方法製成的完整半導體裝置中的缺陷並提升效能。
對於包含氮化矽、氧化矽、氮碳氧化矽等的材料,蝕刻製程可以具有高蝕刻速率。對於包含氮化鈦、TiNOx、鎢、WOx、氮碳化矽、矽、矽鍺、磷化矽等的材料,蝕刻製程可以具有低蝕刻速率。在低溫進行蝕刻製程可以降低氮碳化矽、氮化鈦、TiNOx和WOx的蝕刻速率。在閘極介電層102及/或閘 極電極104包含金屬氧化物的特定實施例中,可以根據以下反應移除閘極介電層102及/或閘極電極104的材料:HF (aq)+H 2 O+MO 2MF x (OH) y
其中M表示閘極介電層102及/或閘極電極104的金屬材料。沿著閘極介電層102和閘極電極104形成固態蝕刻膜106可以減少MFx的移除,藉此減少來自閘極介電層102及/或閘極電極104的材料的移除。
在第二閘極間隔物82和第三閘極間隔物84包含氧化矽、蝕刻劑物質包含氟化氫並且催化劑物質包含水的實施例中,可以根據以下反應移除第二閘極間隔物82和第三閘極間隔物84:4HF+H 2 O+SiO 2SiF 4+3H 2 O
如此一來,蝕刻第二閘極間隔物和第三閘極間隔物可以產生水。如果蝕刻溶液中的水濃度變得太高,則蝕刻溶液可能凍結並且過量的水可能會難以控制蝕刻製程。如此一來,蝕刻製程可以是循環的,其中在每個蝕刻週期之後使用吹淨(purge)來週期性地從處理腔室中移除蝕刻溶液(例如以移除過量的水)。為了防止蝕刻液凍結,可以在吹淨製程期間加熱蝕刻液。在一些實施例中,可以使用一到三個蝕刻週期來蝕刻第二閘極間隔物82和第三閘極間隔物84。蝕刻製程可以從區域50N或50N之一移除第二閘極間隔物82和第三閘極間隔物84,比從區域50N或區域50P中的另一個更快。蝕刻可以進行足以從區域50N和區域50P兩者完全移除第二閘極間隔物82和第三閘極間隔物84的持續時間,例如從約40秒至約200秒,例如約120秒。
雖然已經描述在形成取代閘極之後移除第二閘極間隔物82和第三閘極間隔物84,但在一些實施例中,可以在形成取代閘極之前移除第二閘極 間隔物82和第三閘極間隔物84。舉例來說,在進行以上參照第13A~13D圖所述之製程之後並在參照第14A~14D圖所述之製程之前,可以形成硬遮罩98並且可以移除第二閘極間隔物82和第三閘極間隔物84。可以使用上述選擇性蝕刻製程來移除第二閘極間隔物82和第三閘極間隔物84,使得從虛設閘極72、硬遮罩98、第一閘極間隔物80、第一接觸蝕刻停止層94和磊晶源極/汲極區92中移除的材料最少。
在第18A~18E圖中,在第17A~17D圖的結構上方形成第一介電層112,其藉由封閉由移除第二閘極間隔物82和第三閘極間隔物84所形成的開口來形成氣體間隔物110。第一介電層112的形成可以藉由順應性沉積製程,例如化學氣相沉積、原子層沉積或類似的製程。在特定實施例中,可以藉由具有低一致性的製程來沉積第一介電層112,例如物理氣相沉積(PVD)。第一介電層112可以包含介電材料,例如氮化矽、氧化矽、碳氧化矽、氮碳化矽或類似的材料。雖然第一介電層112的底表面被繪示為平坦的,但第一介電層112的底表面可以是彎曲的。舉例來說,在一些實施例中,第一介電層112的底表面可以是凸的或凹的。
因為第一介電層112可以使用一致性差的製程來沉積,所以第一介電層112可以僅部分地延伸到由移除第二閘極間隔物82和第三閘極間隔物84而形成的開口中。第一介電層112向開口中延伸的深度可以大於硬遮罩98的厚度,使得在藉由例如平坦化的製程移除硬遮罩98之後,保留第一介電層112的一部分(如以下參照第19A~19D圖所討論的)。舉例來說,第一介電層112的底表面可以被設置成在硬遮罩98的底表面下方達約30nm的距離。因為開口的一部分保持不被第一介電層112填充,所以在第一閘極間隔物80和第一接觸蝕 刻停止層94之間的第一介電層112下方形成氣體間隔物110。氣體間隔物110可以包含當沉積第一介電層112時存在於反應腔室中的任何氣體。根據一實施例,氣體間隔物110可以包含空氣。在一些實施例中,氣體間隔物110可以包含氮氣(N2)、氬氣(Ar)、氙氣(Xe)、氨氣(NH3)、氯氣(Cl2)、前述之組合或類似的氣體。在一些實施例中,氣體間隔物110可以進一步包含用於形成第一介電層112的前驅氣體,包含矽烷(SiH4)、二氯矽烷(SiH2Cl2)、四氯化矽(SiCl4)、氨氣、前述之組合或類似的氣體。在各種實施例中,第一介電層112的沉積可以藉由在真空或以約10托至約15托(例如約12.5托)的壓力之部分真空的沉積製程。如此一來,氣體間隔物110可以具有約10托至約15托的低壓,例如約12.5托。氣體間隔物110之與閘極堆疊相鄰的寬度W1可以為約1.5nm至約3nm,高度H1可以小於約90nm。氣體間隔物110可以具有1或接近1的介電常數(例如k值)。
氣體間隔物110具有1或接近於1的低介電常數值,其低於第二閘極間隔物82或第三閘極間隔物84的介電常數值,如上所述,第二閘極間隔物82或第三閘極間隔物84可以由氧化矽、氮化矽、氮碳氧化矽或類似的材料形成。用氣體間隔物110取代第三閘極間隔物84和第二閘極間隔物82降低間隔物的整體有效介電常數值(例如氣體間隔物110和第一閘極間隔物80的組合)並降低根據上述方法形成的裝置的寄生電容。這可以提升根據上述方法形成之裝置的電路速度、可靠性和整體裝置效能。
第18E圖繪示與基底50的主表面平行的剖面示意圖。如第18E圖所示,氣體間隔物110的一部分可以圍繞第一層間介電質96和第一接觸蝕刻停止層94的一部分。第一閘極間隔物80可以圍繞氣體間隔物110。第一接觸蝕刻 停止層94和第一層間介電質96可以不存在於相鄰的磊晶源極/汲極區92之間,例如在磊晶源極/汲極區92的合併部分下方。
第18E圖進一步繪示可以切割一些閘極電極104。在一實施例中,在進行參照第10A~10D圖所述之製程之後,可以蝕刻虛設閘極72和遮罩74。可以在第10A~10D圖所示之結構上方形成圖案化的遮罩,例如圖案化的光阻。可以藉由使用旋轉塗佈或類似的製程在第10A~10D圖所示之結構上方沉積光阻層來形成圖案化的光阻。然後可以藉由將光阻層暴露於圖案化的能量源(例如圖案化的光源)並顯影光阻層,以移除光阻層的暴露出或未暴露的部分來圖案化光阻層,藉此形成圖案化的光阻。然後,使用合適的蝕刻製程來蝕刻虛設閘極72、遮罩74、第一閘極間隔物80、第二閘極間隔物82和第三閘極間隔物84,例如非等向性蝕刻製程(例如乾式蝕刻製程)或類似的製程。可以使用參照第12A~12D圖所述之製程將第一層間介電質96沉積在藉由蝕刻虛設閘極72、遮罩74、第一閘極間隔物80、第二閘極間隔物82和第三閘極間隔物84所留下的凹槽中。可以在任何合適的點或藉由任何合適的方法來切割虛設閘極72或閘極電極104,以形成第18E圖所示之切割的閘極電極104。
在第19A~19D圖中,將第一介電層112平坦化,並移除硬遮罩98。可以藉由例如化學機械研磨的製程來平坦化第一介電層112。可以移除設置在第一層間介電質96、第一接觸蝕刻停止層94、第一閘極間隔物80、閘極介電層102和閘極電極104上方的第一介電層112的一部分,並且在平坦化之後,第一介電層112和閘極堆疊的頂表面可以與第一層間介電質96的頂表面齊平。平坦化製程可以進一步移除硬遮罩98。如上所述,可以在藉由移除第二閘極間隔物82和第三閘極間隔物84所留下的開口中沉積第一介電層112,其深度大於 硬遮罩98的厚度,使得在藉由平面化製程移除硬遮罩98之後保留第一介電層112。在平坦化之後,閘極堆疊的高度可以為約10nm至約30nm。雖然第一介電層112的頂表面被繪示為是平坦的,但在平坦化製程之後,第一介電層112的頂表面可以是彎曲的。舉例來說,在一些實施例中,第一介電層112的頂表面可以是凸的或凹的。
在第20A~20D圖中,在第一層間介電質96、閘極電極104、閘極介電層102、第一接觸蝕刻停止層94、第一介電層112和第一閘極間隔物80上方沉積第二層間介電質116。在一些實施例中,第二層間介電質116是藉由可流動式化學氣相沉積方法形成的可流動膜。第二層間介電質116可以由介電材料形成,例如磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻雜硼的磷矽酸鹽玻璃(BPSG)、未摻雜的矽酸鹽玻璃(USG)或類似的材料,並且可以藉由任何合適的方法來沉積,例如化學氣相沉積和電漿輔助化學氣相沉積。根據一些實施例,在形成第二層間介電質116之前,凹蝕閘極堆疊(包含閘極介電層102和閘極電極104),藉此在閘極堆疊正上方和第一閘極間隔物80的相對部分之間形成凹槽,如第20A和20B圖所示。在凹槽中填充包含一或多層介電材料(例如氮化矽、氮氧化矽或類似的材料)的閘極遮罩114,然後進行平坦化製程以移除在第一層間介電質96上方延伸的介電材料的多餘部分。隨後形成的閘極接觸件(例如以下參照第21A~21D圖所述之閘極接觸件118)穿過閘極遮罩114以接觸凹陷的閘極電極104的頂表面。
根據一些實施例,在第21A~21D圖中,形成穿過第二層間介電質116和第一層間介電質96的閘極接觸件118和源極/汲極接觸件120。形成穿過第二層間介電質116、第一層間介電質96和第一接觸蝕刻停止層94之用於源極/ 汲極接觸件120的開口,並形成穿過第二層間介電質116和閘極遮罩114之用於閘極接觸件118的開口。可以使用合適的光學微影和蝕刻技術來形成開口。可以以受控的方式形成開口,以避免暴露出氣體間隔物110。在開口中形成例如擴散阻障層、黏著層等的襯層和導電材料。襯層可以包含鈦、氮化鈦、鉭、氮化鉭或類似的材料。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、鎳或類似的材料。可以藉由例如物理氣相沉積(PVD)、化學氣相沉積或類似的製程來沉積閘極接觸件和源極/汲極接觸件。可以進行例如化學機械研磨的平坦化製程以從第二層間介電質116的表面移除多餘的材料。剩餘的襯層和導電材料在開口中形成源極/汲極接觸件120和閘極接觸件118。可以進行退火製程以在磊晶源極/汲極區92與源極/汲極接觸件120之間的界面形成矽化物。源極/汲極接觸件120物理和電性耦合至磊晶源極/汲極區92,並且閘極接觸件118物理和電性耦合到閘極電極104。源極/汲極接觸件120和閘極接觸件118可以以不同的製程形成,或者可以以相同的製程形成。雖然繪示為形成為相同的剖面,但應理解的是,源極/汲極接觸件120和閘極接觸件118中的每一個可以形成為不同的剖面,這可以避免接觸件的短路。
如上所述,形成氣體間隔物110降低了在本案的結構中使用的間隔物的有效介電常數。這降低了寄生電容,其增加了根據上述方法形成的裝置的電路速度、可靠性和整體裝置效能。此外,使用低溫蝕刻製程來形成氣體間隔物110提高了蝕刻製程的蝕刻選擇性,這允許移除第二閘極間隔物82和第三閘極間隔物84而不移除或損壞其他結構。這降低了裝置缺陷並改善了根據上述方法形成的裝置的裝置效能。
根據一實施例,方法包含在基底上方形成閘極堆疊;在閘極堆 疊的側壁上形成第一閘極間隔物;在第一閘極間隔物的側壁上形成第二閘極間隔物;使用蝕刻製程移除第二閘極間隔物以形成第一開口,蝕刻製程在小於0℃的溫度下進行,蝕刻製程使用包含氟化氫的蝕刻溶液;以及在第一閘極間隔物和閘極堆疊上方沉積介電層,介電層在第一開口中密封氣體間隔物。在一實施例中,蝕刻溶液更包含催化劑,催化劑包含水。在一實施例中,蝕刻溶液中的氟化氫的流速為50SCCM至700SCCM,並且蝕刻溶液中的水的流速為300MGM至1800MGM。在一實施例中,蝕刻溶液更包含催化劑,催化劑包含乙醇。在一實施例中,蝕刻溶液中的氟化氫的流速為50SCCM至700SCCM,並且蝕刻溶液中的乙醇的流速為100SCCM至800SCCM。在一實施例中,蝕刻製程包含一至三個蝕刻循環,並且在每個蝕刻循環之後進行吹淨。在一實施例中,在蝕刻製程期間,在閘極堆疊和第一閘極間隔物的表面上形成固態蝕刻膜,並在第二閘極間隔物的表面上形成液態蝕刻膜。
根據另一實施例,方法包含在半導體基底上方形成閘極堆疊;在閘極堆疊的側壁上形成第一閘極間隔物;在第一閘極間隔物的側壁上形成第二閘極間隔物;在閘極堆疊的兩側磊晶成長源極/汲極區;使用蝕刻製程移除第二閘極間隔物,第二閘極間隔物的移除形成第一開口,在蝕刻製程期間,在閘極堆疊、第一閘極間隔物和源極/汲極區的表面上形成固態蝕刻膜,並在第二閘極間隔物的表面上形成液態蝕刻膜;以及沉積第一介電層以密封第一開口並在第一閘極間隔物的側壁上界定氣體間隔物。在一實施例中,第二閘極間隔物包含氧化矽層和氮化矽層,並且第一閘極間隔物包含氮碳化矽。在一實施例中,閘極堆疊包含第二介電層和覆蓋第二介電層的金屬閘極,並在形成閘極堆疊之後移除第二閘極間隔物。在一實施例中,蝕刻製程使用包含氟化氫和乙醇的蝕 刻溶液。在一實施例中,蝕刻製程使用包含氟化氫和水的蝕刻溶液。在一實施例中,蝕刻製程在負30℃至0℃的溫度下進行。
根據又一實施例,半導體裝置的製造方法包含在半導體基底上方形成虛設閘極;在虛設閘極上方沉積第一間隔層;在第一間隔層上方沉積第二間隔層;在第二間隔層上方沉積第三間隔層;將第一間隔層、第二間隔層和第三間隔層圖案化以分別形成第一閘極間隔物、第二閘極間隔物和第三閘極間隔物;在與第三閘極間隔物相鄰之虛設閘極的兩側磊晶成長源極/汲極區;以金屬閘極取代虛設閘極;以及在取代虛設閘極之後,在低於0℃的溫度下使用蝕刻製程移除第二閘極間隔物和第三閘極間隔物,第二閘極間隔物和第三閘極間隔物的移除形成空隙,空隙暴露出第一閘極間隔物和源極/汲極區的表面。在一實施例中,此方法更包含在源極/汲極區和虛設閘極上方形成層間介電質;平坦化層間介電質和虛設閘極;回蝕刻層間介電質以形成第一開口;以及以硬遮罩填充第一開口,在填充第一開口之後,進行第二閘極間隔物和第三閘極間隔物的移除。在一實施例中,此方法更包含在硬遮罩、金屬閘極和空隙上方形成介電層,介電層密封空隙以形成與第一閘極間隔物相鄰的空氣間隔物。在一實施例中,空氣間隔物的一部分在垂直於半導體基底的主表面的方向上在源極/汲極區的一部分下方延伸。在一實施例中,此方法更包含進行第二平坦化以平坦化介電層、層間介電質、第一閘極間隔物和金屬閘極以及移除硬遮罩,在第二平坦化之前,金屬閘極的閘極高度為10nm至60nm,並且在第二平坦化之後,金屬閘極的閘極高度為10nm至30nm。在一實施例中,蝕刻製程使用包含氟化氫和水的蝕刻溶液。在一實施例中,蝕刻製程使用包含氟化氫和乙醇的蝕刻溶液。
以上概述數個實施例之部件,使得本技術領域中具有通常知識者可以更加理解本發明實施例的面向。本技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優點。本技術領域中具有通常知識者也應該理解到,此類等效的結構並未悖離本發明實施例的精神與範圍,且他們能在不違背本發明實施例的精神和範圍下,做各式各樣的改變、取代和調整。
50:基底
56:淺溝槽隔離區
80:第一閘極間隔物
92:磊晶源極/汲極區
94:第一接觸蝕刻停止層
96:第一層間介電質
102:閘極介電層
104:閘極電極
110:氣體間隔物
112:第一介電層
114:閘極遮罩
116:第二層間介電質
118:閘極接觸件
120:源極/汲極接觸件

Claims (10)

  1. 一種半導體裝置的製造方法,包括:在一基底上方形成一閘極堆疊;在該閘極堆疊的側壁上形成一第一閘極間隔物;在該第一閘極間隔物的側壁上形成一第二閘極間隔物;使用一蝕刻製程移除該第二閘極間隔物以形成一第一開口,其中該蝕刻製程在小於0℃的溫度下進行,其中該蝕刻製程使用包括氟化氫的蝕刻溶液,其中該第一開口暴露出該第一閘極間隔物的一側壁和該第一閘極間隔物的一水平表面,且該水平表面在該第一閘極間隔物的一頂表面下方;以及在該第一閘極間隔物和該閘極堆疊上方沉積一介電層,該介電層在該第一開口中密封一氣體間隔物。
  2. 如請求項1之半導體裝置的製造方法,其中該蝕刻溶液更包括一催化劑,其中該催化劑包括水,其中該蝕刻溶液中的該氟化氫的流速為50SCCM至700SCCM,且其中該蝕刻溶液中的該水的流速為300MGM至1800MGM;或該催化劑包括乙醇,其中該蝕刻溶液中的該氟化氫的流速為50SCCM至700SCCM,且其中該蝕刻溶液中的該乙醇的流速為100SCCM至800SCCM。
  3. 如請求項1或2之半導體裝置的製造方法,其中該蝕刻製程包括一至三個蝕刻循環,且其中在該些蝕刻循環中的每一個之後進行吹淨。
  4. 一種半導體裝置的製造方法,包括:在一半導體基底上方形成一閘極堆疊;在該閘極堆疊的側壁上形成一第一閘極間隔物; 在該第一閘極間隔物的側壁上形成一第二閘極間隔物;在該閘極堆疊的兩側磊晶成長複數個源極/汲極區;使用一蝕刻製程移除該第二閘極間隔物,其中該第二閘極間隔物的移除形成複數個第一開口,其中在該蝕刻製程期間,在該閘極堆疊、該第一閘極間隔物和該些源極/汲極區的表面上形成一固態蝕刻膜,並在該第二閘極間隔物的表面上形成一液態蝕刻膜;以及沉積一第一介電層以密封該些第一開口並在該第一閘極間隔物的側壁上界定一氣體間隔物。
  5. 如請求項4之半導體裝置的製造方法,其中該第二閘極間隔物包括氧化矽層和氮化矽層,且其中該第一閘極間隔物包括氮碳化矽。
  6. 一種半導體裝置的製造方法,該方法包括:在一半導體基底上方形成一虛設閘極;在該虛設閘極上方沉積一第一間隔層;在該第一間隔層上方沉積一第二間隔層;在該第二間隔層上方沉積一第三間隔層;將該第一間隔層、該第二間隔層和該第三間隔層圖案化以分別形成一第一閘極間隔物、一第二閘極間隔物和一第三閘極間隔物;在與該第三閘極間隔物相鄰之該虛設閘極的兩側磊晶成長複數個源極/汲極區;以一金屬閘極取代該虛設閘極;以及在取代該虛設閘極之後,在低於0℃的溫度下使用一蝕刻製程移除該第二閘極間隔物和該第三閘極間隔物,該第二閘極間隔物和該第三閘極間隔物的移除 形成一空隙,該空隙暴露出該第一閘極間隔物和該些源極/汲極區的表面。
  7. 如請求項6之半導體裝置的製造方法,更包括:在該些源極/汲極區和該虛設閘極上方形成一層間介電質;平坦化該層間介電質和該虛設閘極;回蝕刻該層間介電質以形成一第一開口;以及以一硬遮罩填充該第一開口,其中在填充該第一開口之後,進行該第二閘極間隔物和該第三閘極間隔物的移除。
  8. 如請求項7之半導體裝置的製造方法,更包括在該硬遮罩、該金屬閘極和該空隙上方形成一介電層,其中該介電層密封該空隙以形成與該第一閘極間隔物相鄰的一空氣間隔物。
  9. 如請求項8之半導體裝置的製造方法,其中該空氣間隔物的一部分在垂直於該半導體基底的一主表面的方向上在該些源極/汲極區的一部分下方延伸。
  10. 如請求項8或9之半導體裝置的製造方法,更包括進行一第二平坦化以平坦化該介電層、該層間介電質、該第一閘極間隔物和該金屬閘極以及移除該硬遮罩,其中在該第二平坦化之前,該金屬閘極的閘極高度為10nm至60nm,且其中在該第二平坦化之後,該金屬閘極的閘極高度為10nm至30nm。
TW110101267A 2020-01-17 2021-01-13 半導體裝置的製造方法 TWI767509B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/745,796 2020-01-17
US16/745,796 US11329140B2 (en) 2020-01-17 2020-01-17 Semiconductor device and method of manufacture

Publications (2)

Publication Number Publication Date
TW202143338A TW202143338A (zh) 2021-11-16
TWI767509B true TWI767509B (zh) 2022-06-11

Family

ID=76650377

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110101267A TWI767509B (zh) 2020-01-17 2021-01-13 半導體裝置的製造方法

Country Status (5)

Country Link
US (2) US11329140B2 (zh)
KR (1) KR102370947B1 (zh)
CN (1) CN113140513A (zh)
DE (1) DE102020101405B4 (zh)
TW (1) TWI767509B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11621263B2 (en) * 2020-10-13 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with short-resistant capacitor plate
US11848384B2 (en) * 2021-09-27 2023-12-19 International Business Machines Corporation Semiconductor device with airgap spacer formation from backside of wafer

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190027373A1 (en) * 2016-04-05 2019-01-24 Tes Co., Ltd Method For Selectively Etching Silicon Oxide Film
TW202002025A (zh) * 2018-06-28 2020-01-01 台灣積體電路製造股份有限公司 半導體結構的形成方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007150234A (ja) 2005-10-26 2007-06-14 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP5454543B2 (ja) 2011-10-06 2014-03-26 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5548225B2 (ja) 2012-03-16 2014-07-16 富士フイルム株式会社 半導体基板製品の製造方法及びエッチング液
JP6494226B2 (ja) * 2014-09-16 2019-04-03 東京エレクトロン株式会社 エッチング方法
KR102435521B1 (ko) * 2016-02-29 2022-08-23 삼성전자주식회사 반도체 소자
KR102482369B1 (ko) * 2016-07-06 2022-12-29 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10522642B2 (en) 2016-12-14 2019-12-31 Taiwan Semiconductor Manufacturing Co. Ltd. Semiconductor device with air-spacer
KR102365108B1 (ko) * 2017-08-01 2022-02-18 삼성전자주식회사 집적회로 장치
US10340384B2 (en) 2017-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing fin field-effect transistor device
US10868416B2 (en) 2018-03-02 2020-12-15 Sierra Wireless, Inc. Protection circuit involving positive temperature coefficient device
US10861953B2 (en) 2018-04-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Air spacers in transistors and methods forming same
JP7204348B2 (ja) * 2018-06-08 2023-01-16 東京エレクトロン株式会社 エッチング方法およびエッチング装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190027373A1 (en) * 2016-04-05 2019-01-24 Tes Co., Ltd Method For Selectively Etching Silicon Oxide Film
TW202002025A (zh) * 2018-06-28 2020-01-01 台灣積體電路製造股份有限公司 半導體結構的形成方法

Also Published As

Publication number Publication date
KR102370947B1 (ko) 2022-03-07
DE102020101405B4 (de) 2024-05-08
DE102020101405A1 (de) 2021-07-22
US20220262920A1 (en) 2022-08-18
US11996466B2 (en) 2024-05-28
TW202143338A (zh) 2021-11-16
KR20210093709A (ko) 2021-07-28
CN113140513A (zh) 2021-07-20
US11329140B2 (en) 2022-05-10
US20210226033A1 (en) 2021-07-22

Similar Documents

Publication Publication Date Title
TWI725588B (zh) 半導體裝置的形成方法及半導體裝置
TWI696289B (zh) 半導體裝置及其形成方法
TWI729525B (zh) 半導體裝置及其製造方法
TWI758655B (zh) 半導體裝置及其形成方法
US11996466B2 (en) Semiconductor device and method of manufacture
US20210257260A1 (en) Semiconductor Device and Method
TW202016999A (zh) 半導體裝置及其製造方法
TW202129840A (zh) 半導體裝置及其形成方法
TWI739147B (zh) 半導體裝置及其形成方法
TW202117814A (zh) 半導體裝置及其形成方法
US11282967B2 (en) Nanostructure field-effect transistor device and method of forming
TWI785661B (zh) 半導體裝置及其形成方法
TWI777415B (zh) 半導體裝置及其形成方法
TWI808733B (zh) 半導體裝置及其形成方法
TWI843525B (zh) 半導體裝置及其形成方法
TWI825835B (zh) 半導體元件及其形成方法
TWI834733B (zh) 半導體裝置與其形成方法
US20200402807A1 (en) Semiconductor FinFET Device and Method
TW202109885A (zh) 半導體裝置
KR20230115200A (ko) 반도체 디바이스 및 방법
TW202013457A (zh) 半導體裝置的形成方法
KR20220020761A (ko) 갭충전 구조물 및 그 제조 방법
KR20210148864A (ko) 반도체 디바이스 및 제조 방법