TWI760052B - 形成閘電極的方法、半導體裝置及製造半導體裝置的方法 - Google Patents

形成閘電極的方法、半導體裝置及製造半導體裝置的方法 Download PDF

Info

Publication number
TWI760052B
TWI760052B TW110100645A TW110100645A TWI760052B TW I760052 B TWI760052 B TW I760052B TW 110100645 A TW110100645 A TW 110100645A TW 110100645 A TW110100645 A TW 110100645A TW I760052 B TWI760052 B TW I760052B
Authority
TW
Taiwan
Prior art keywords
work function
layer
depositing
monolayers
gate
Prior art date
Application number
TW110100645A
Other languages
English (en)
Other versions
TW202207289A (zh
Inventor
李欣怡
洪正隆
志安 徐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202207289A publication Critical patent/TW202207289A/zh
Application granted granted Critical
Publication of TWI760052B publication Critical patent/TWI760052B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一種形成閘電極的方法包括使用一原子層沉積製程在一閘極介電層之上沉積一第一功函數調整層。上述原子層沉積製程包含沉積一或多個第一氮化物單層及在一或多個第一氮化物單層之上沉積一或多個碳化物單層。此方法進一步包括沉積第一功函數調整層的黏合層;及在黏合層之上沉積導電材料。

Description

形成閘電極的方法、半導體裝置及製造半導體裝置的方法
本揭示的實施方式是關於形成閘電極的方法、半導體裝置及製造半導體裝置的方法。
在諸如個人電腦、手機、數位相機及其他電子設備的多種電子應用中使用半導體裝置。通常藉由在半導體基板之上依序沉積絕緣層或介電層、導電層及半導體材料層並使用微影術圖案化各種材料層以在其上形成電路組件及元件來製造半導體裝置。
半導體工業藉由不斷減小最小特徵尺寸來繼續改良各種電子組件(例如,電晶體、二極體、電阻器、電容器等)之整合密度,從而允許更多的組件整合至給定區域中。
本揭示的一實施方式提供一種用於形成閘電極的方法,包含使用原子層沉積製程在閘極介電層之上沉積第 一功函數調整層;沉積第一功函數調整層的黏合層;以及在黏合層之上沉積導電材料。其中原子層沉積製程包含沉積一或多個第一氮化物單層;以及在一或多個第一氮化物單層之上沉積一或多個碳化物單層。
本揭示的一實施方式提供一種製造半導體裝置的方法,包含在第一閘極間隔物之間形成第一凹部;在第一凹部中沉積p型功函數調整層;在第一凹部中於p型功函數調整層之上沉積n型功函數調整層;在第一凹部中於n型功函數調整層之上沉積黏合層;及在第一凹部中於黏合層之上沉積導電填充材料。其中沉積p型功函數調整層包含:沉積多個第一氮化物單層;在第一單層之上沉積多個第二碳化物單層;及在第二單層之上沉積多個第三氮化物單層。
本揭示的一實施方式提供一種半導體裝置,包含第一源極/汲極區、第二源極/汲極區、及第一閘極。第一閘極在第一源極/汲極區與第二源極/汲極區之間,包含閘極介電質、及在閘極介電質之上的閘電極。閘電極包含在閘極介電質之上的第一p型功函數調整金屬,第一p型功函數調整金屬包含碳及氮;在第一p型功函數調整金屬之上的黏合層;及在黏合層之上的填充金屬。
50:基板
50N:n型區
50P:p型區
51:分隔片
52:鰭片
54:絕緣材料
56:淺溝槽隔離/STI區
58:通道區
60:虛設介電層
62:虛設閘極層
64:遮罩層
72:虛設閘極
74:遮罩
80:閘極密封間隔物
82:源極/汲極區
86:閘極間隔物
87:接觸蝕刻停止層
88:第一層間介電質
89:區
90:凹部
92:閘極介電層
92A:介面層
92B:高k介電材料
94:閘電極
94A:p型功函數調整層
94B:n型功函數調整層
94C:黏膠層
94D:填充材料
96:閘極遮罩
108:第二層間介電質
110:閘極接觸件
112:源極/汲極接觸件
200:原子層沉積製程
202:沉積循環
204:沉積循環
206:沉積循環
210:原子層沉積製程
212:沉積循環
214:沉積循環
216:沉積循環
當結合隨附圖式來閱讀時,根據以下詳細描述將最好地理解本揭露之實施方式之態樣。應注意,根據業內的 標準做法,並未按比例繪製各種特徵。事實上,為了論述的清楚起見,任意地擴大或縮小各種特徵之尺寸。
第1圖繪示根據一些實施例之三維視圖中的鰭式場效電晶體的實例。
第2圖、第3圖、第4圖、第5圖、第6圖、第7圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖、第10B圖、第10C圖、第10D圖、第11A圖、第11B圖、第12A圖、第12B圖、第13A圖、第13B圖、第14A圖、第14B圖、第14C圖、第15A圖、第15B圖、第15C圖、第15D圖、第17A圖、第17B圖、第18A圖及第18B圖是根據一些實施例之鰭式場效電晶體製造中的中間階段的橫截面視圖。
第16A圖及第16B圖繪示根據一些實施例之沉積製程。
以下揭露內容提供用於實現本揭示之實施方式之不同特徵的許多不同的實施例或實例。下文描述組件及配置之特定實例以簡化本揭露之實施方式。當然,此等僅為實例,且不意欲具有限制性。例如,以下描述中在第二特徵之上或第二特徵上形成第一特徵可包括其中第一特徵及第二特徵形成為直接接觸的實施例,且亦可包括其中額外特徵可形成於第一特徵與第二特徵之間以使得第一特徵及第二特徵可能不直接接觸的實施例。另外,本揭露之實施 方式可在各種實例中重複參考數字及/或字母。此重複係為了簡單及清楚的目的且本身並不表示所論述的各種實施例及/或組態之間的關係。
此外,為便於描述,本文可使用諸如「下方」、「之下」、「下部」、「上方」及「上部」等等空間相對術語來描述一個元件或特徵與另一(些)元件或特徵之關係,如圖中所繪示。除圖中所描繪的定向之外,空間相對術語還意欲涵蓋裝置在使用或操作中的不同定向。可以其他方式來定向設備(旋轉90度或以其他定向),並且同樣地可相應地解釋本文所使用的空間相對描述詞。
各種實施例提供一種沉積閘電極之功函數金屬(work function metal,WFM)層以用於改良功函數調整的方法。在一些實施例中,功函數金屬層是藉由原子層沉積(atomic layer deposition,ALD)製程形成的p型層。原子層沉積製程可沉積氮化物單層(例如,氮化鈦、氮化鉭等)及碳化物單層(例如,碳化鈦、碳化鉭等)的組合。藉由調整所沉積的碳化物單層之數量與氮化物單層之數量的比率,可更精確地調整閘電極的功函數。例如,功函數金屬層中較高的碳氮比率可導致較低的功函數(例如,更為n型),且功函數金屬層中較低的碳氮比率可導致較高的功函數(例如,更為p型)。
第1圖繪示根據一些實施例之三維視圖中的鰭式場效電晶體的實例。鰭式場效電晶體包含基板50(例如,半導體基板)上的鰭片52。隔離區56設置於基板50中, 且鰭片52在相鄰的隔離區56上方且自其間突出。儘管隔離區56被描述/繪示為與基板50分離,但是如本文所使用,術語「基板」可用於指代僅半導體基板或包括隔離區的半導體基板。另外,儘管鰭片52被繪示為作為基板50的單種連續材料,但是鰭片52及/或基板50可包含單種材料或複數種材料。在此情境中,鰭片52指代在相鄰的隔離區56之間延伸的部分。
閘極介電層92沿著側壁且在鰭片52的頂表面之上,且閘電極94在閘極介電層92之上。源極/汲極區82相對於閘極介電層92及閘電極94設置於鰭片52之相對側上。第1圖進一步繪示在後續的圖中使用的參考橫截面。橫截面A-A沿著閘電極94的縱向軸線,且在例如垂直於在鰭式場效電晶體的源極/汲極區82之間的電流的方向上。橫截面B-B垂直於橫截面A-A,且沿著鰭片52的縱向軸線,且在例如在鰭式場效電晶體的源極/汲極區82之間的電流的方向上。橫截面C-C平行於橫截面A-A且延伸穿過鰭式場效電晶體的源極/汲極區。為了清楚起見,後續的圖參考此等參考橫截面。
本文所論述的一些實施例是在使用閘極後製製程(gate-last process)形成的鰭式場效電晶體的情境中論述的。在其他實施例中,可使用閘極優先製程(gate-first process)。此外,一些實施例涵蓋在諸如平面場效電晶體、奈米結構(例如,奈米片、奈米線、全環繞閘極)場效電晶體(nanostructure field effect transistor,NSFET) 等等平面裝置中使用的態樣。
第2圖至第18B圖是根據一些實施例之鰭式場效電晶體製造中的中間階段的橫截面視圖。第2圖至第7圖繪示了第1圖所示的參考橫截面A-A,只不過有多個鰭片/鰭式場效電晶體。第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖、第17A圖及第18A圖是沿著第1圖所示的參考橫截面A-A繪示的,且第8B圖、第9B圖、第10B圖、第11B圖、第12B圖、第13B圖、第14B圖、第14C圖、第15A圖、第15B圖、第15C圖、第15D圖、第17B圖及第18B圖是沿著第1圖所示的類似橫截面B-B繪示的,只不過有多個鰭片/鰭式場效電晶體。第10C圖、第10D圖是沿著第1圖所示的參考橫截面C-C繪示的,只不過有多個鰭片/鰭式場效電晶體。
在第2圖中,展示基板50。基板50可為諸如塊狀半導體、絕緣體上半導體(semiconductor-on-insulator,SOI)基板等等半導體基板,其可為摻雜的(例如,用p型或n型摻雜劑摻雜)或未摻雜的。基板50可為晶圓,諸如矽晶圓。通常,SOI基板是形成於絕緣體層上的半導體材料層。絕緣體層可為例如埋入式氧化物(buried oxide,BOX)層、氧化矽層等。絕緣體層設置於基板(通常為矽或玻璃基板)上。亦可使用其他基板,諸如多層或梯度基板。在一些實施例中,基板50之半導體材料可包括:矽、鍺、化合物半導體、合 金半導體或其組合,其中化合物半導體包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體包括鍺化矽、砷磷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦及/或砷磷化鎵銦。
基板50具有n型區50N及p型區50P。n型區50N可用於形成n型裝置,諸如NMOS電晶體,例如n型鰭式場效電晶體。p型區50P可用於形成p型裝置,諸如PMOS電晶體,例如p型鰭式場效電晶體。n型區50N可與p型區50P實體上分離(如分隔片51所繪示),且任何數目的裝置特徵(例如,其他作用裝置、摻雜區、隔離結構等)可設置於n型區50N與p型區50P之間。
在第3圖中,在基板50中形成鰭片52。鰭片52是半導體條帶。在一些實施例中,可藉由在基板50中蝕刻溝槽而在基板50中形成鰭片52。蝕刻可為任何可接受的蝕刻製程,諸如反應離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)等或其組合。蝕刻可為各向異性(anisotropic)的。
可藉由任何適當方法來圖案化鰭片。例如,可使用包括雙圖案化或多圖案化製程的一或多種微影製程來圖案化鰭片52。通常,雙圖案化或多圖案化製程結合了微影製程及自對準製程,從而允許創建圖案,上述圖案具有例如間距小於使用單一直接微影製程可獲得的間距。例如,在一個實施例中,在基板之上形成犧牲層且使用微影製程將其圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間 隔物。隨後移除犧牲層,且隨後可使用留下的間隔物來圖案化鰭片。在一些實施例中,遮罩(或其他層)可留在鰭片52上。
在第4圖中,在基板50之上且在相鄰的鰭片52之間形成絕緣材料54。絕緣材料54可為氧化物(諸如氧化矽)、氮化物等或其組合,且可藉由高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、可流動化學氣相沉積(flowable CVD,FCVD)(例如,在遠端電漿系統中的基於CVD的材料沉積,及後固化以使其轉換成諸如氧化物的另一種材料)等或其組合來形成。可使用藉由任何可接受的製程形成的其他絕緣材料。在所繪示的實施例中,絕緣材料54是藉由FCVD製程形成的氧化矽。一旦形成絕緣材料,就可執行退火製程。在一實施例中,形成絕緣材料54以使得過量絕緣材料54覆蓋鰭片52。儘管絕緣材料54被繪示為單個層,但是一些實施例可利用多個層。例如,在一些實施例中,可沿著基板50及鰭片52的表面首先形成襯裡(未圖示)。在此之後,可在襯裡之上形成填充材料,諸如上文所論述的材料。
在第5圖中,將移除製程應用於絕緣材料54以移除鰭片52之上的過量絕緣材料54。在一些實施例中,可利用平坦化製程(諸如化學機械拋光(chemical mechanical polish,CMP))、回蝕製程、其組合等。平坦化製程曝露鰭片52以使得在平坦化製程完成之後,鰭 片52及絕緣材料54的頂表面是齊平的。在遮罩留在鰭片52上的實施例中,平坦化製程可曝露遮罩或移除遮罩,以使得在平坦化製程完成之後,遮罩或鰭片52分別與絕緣材料54的頂表面齊平。
在第6圖中,使絕緣材料54凹陷以形成淺溝槽隔離(Shallow Trench Isolation,STI)區56。使絕緣材料54凹陷以使得n型區50N中及p型區50P中的鰭片52的上部分自相鄰的STI區56之間突出。此外,STI區56的頂表面可具有如圖所示的平坦表面、凸表面、凹表面(諸如凹陷)或其組合。可藉由適當的蝕刻將STI區56的頂表面形成為平坦的、凸的及/或凹的。可使用可接受的蝕刻製程,諸如對絕緣材料54的材料有選擇性(例如,蝕刻絕緣材料54的材料的速率比蝕刻鰭片52的材料的速率快)的蝕刻製程,來使STI區56凹陷。例如,可使用例如使用稀氫氟酸(dilute hydrofluoric,dHF)來去除氧化物。
參考第2圖至第6圖描述的製程僅為可如何形成鰭片52的一個實例。在一些實施例中,可藉由磊晶成長製程形成鰭片。例如,可在基板50的頂表面之上形成介電層,且可穿過介電層蝕刻溝槽以曝露下方的基板50。可在溝槽中磊晶地成長同質磊晶結構,且可使介電層凹陷以使得同質磊晶結構自介電層突出以形成鰭片。另外,在一些實施例中,可將異質磊晶結構用於鰭片52。例如,可使第5圖中的鰭片52凹陷,且可在凹陷的鰭片52之上磊晶地成長 不同於鰭片52的材料。在此類實施例中,鰭片52包含凹陷材料以及設置於凹陷材料之上的磊晶地成長的材料。在更進一步的實施例中,可在基板50的頂表面之上形成介電層,且可穿過介電層蝕刻溝槽。隨後可使用不同於基板50的材料在溝槽中磊晶地成長異質磊晶結構,且可使介電層凹陷以使得異質磊晶結構自介電層突出以形成鰭片52。在磊晶地成長同質磊晶或異質磊晶結構的一些實施例中,可在成長期間原位摻雜磊晶地成長的材料,從而可避免先前及隨後的植入,儘管可同時使用原位摻雜及植入摻雜。
更進一步,在n型區50N(例如NMOS區)中磊晶地成長不同於p型區50P(例如PMOS區)中材料的材料可為有利的。在各種實施例中,鰭片52的上部分可由鍺化矽(SixGe1-x,其中x可在0至1的範圍內)、碳化矽、純鍺或基本純鍺、III-V化合物半導體、II-V化合物半導體等形成。例如,可用於形成III-V化合物半導體的材料包括但不限於砷化銦、砷化鋁、砷化鍺、磷化銦、氮化鎵、砷化銦鎵、砷化銦鋁、銻化鎵、銻化鋁、磷化鋁、磷化鎵等。
此外,在第6圖中,可在鰭片52及/或基板50中形成適當的井(未圖示)。在一些實施例中,可在n型區50N中形成P井,且可在p型區50P中形成N井。在一些實施例中,在n型區50N及p型區50P兩者中形成P井或N井。
在具有不同井類型的實施例中,可使用光阻劑及/或其他遮罩(未圖示)達成用於n型區50N及p型區50P 的不同植入步驟。例如,可在n型區50N中的鰭片52及STI區56之上形成光阻劑。將光阻劑圖案化以曝露基板50的p型區50P。可藉由使用旋塗技術形成光阻劑且可使用可接受的微影技術將其圖案化。一旦將光阻劑圖案化,就在p型區50P中執行n型雜質植入,且光阻劑可充當遮罩以基本上防止n型雜質植入至n型區50N中。n型雜質可為植入該區中、達到等於或小於1018cm-3(諸如在約1016cm-3與約1018cm-3之間)的濃度的磷、砷、銻等。在植入之後,諸如藉由可接受的灰化製程移除光阻劑。
在對p型區50P的植入之後,在p型區50P中的鰭片52及STI區56之上形成光阻劑。將光阻劑圖案化以曝露基板50的n型區50N。可藉由使用旋塗技術形成光阻劑且可使用可接受的微影技術將其圖案化。一旦將光阻劑圖案化,就可在n型區50N中執行p型雜質植入,且光阻劑可充當遮罩以基本上防止p型雜質植入至p型區50P中。p型雜質可為植入該區中、達到等於或小於1018cm-3(諸如在約1016cm-3與約1018cm-3之間)的濃度的硼、氟化硼、銦等。在植入之後,可諸如藉由可接受的灰化製程移除光阻劑。
在對n型區50N及p型區50P的植入之後,可執行退火以修復植入損傷且活化植入的n型及p型雜質。在一些實施例中,可在成長期間原位摻雜磊晶鰭片的已成長材料,從而可避免植入,儘管可同時使用原位摻雜及植入摻雜。
在第7圖中,在鰭片52上形成虛設介電層60。虛設介電層60可為例如氧化矽、氮化矽、其組合等,且可根據可接受的技術來沉積或熱成長。在虛設介電層60之上形成虛設閘極層62,且在虛設閘極層62之上形成遮罩層64。可在虛設介電層60之上沉積虛設閘極層62且隨後諸如藉由化學機械拋光將其平坦化。可在虛設閘極層62之上沉積遮罩層64。虛設閘極層62可為導電或不導電材料,且可選自包括非晶矽、多晶形矽(多晶矽)、多晶形矽鍺(多晶SiGe)、金屬氮化物、金屬矽化物、金屬氧化物及金屬的群組。虛設閘極層62可藉由物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、濺鍍沉積或用於沉積所選材料的其他技術來沉積。虛設閘極層62可由具有高蝕刻選擇性的其他材料製成,該高蝕刻選擇性來自對隔離區(例如,STI區56及/或虛設介電層60)的蝕刻。遮罩層64可包括例如氮化矽、氮氧化矽等的一或多個層。在此實例中,跨n型區50N及p型區50P形成單個虛設閘極層62及單個遮罩層64。應注意,僅出於說明目的,將虛設介電層60展示為僅覆蓋鰭片52。在一些實施例中,可沉積虛設介電層60以使得虛設介電層60覆蓋STI區56,從而在STI區之上且在虛設閘極層62與STI區56之間延伸。
第8A圖至第16B圖繪示了實施例裝置製造中的各種額外步驟。第8A圖至第16B圖繪示n型區50N及p型區50P中的任一者中的特徵。例如,第8A圖至第16B 圖中所繪示的結構可適用於n型區50N及p型區50P兩者。在伴隨每個圖的文字中描述n型區50N及p型區50P的結構的差異(若有的話)。
在第8A圖及第8B圖中,可使用可接受的微影技術及蝕刻技術圖案化遮罩層64(參見第7圖)以形成遮罩74。隨後可將遮罩74的圖案轉印至虛設閘極層62。在一些實施例(未繪示)中,亦可藉由可接受的蝕刻技術將遮罩74的圖案轉印至虛設介電層60以形成虛設閘極72。虛設閘極72覆蓋鰭片52的相應通道區58。遮罩74的圖案可用於將虛設閘極72與相鄰的虛設閘極實體上分離。虛設閘極72亦可具有基本上垂直於相應磊晶鰭片52的長度方向的長度方向。
此外,在第8A圖及第8B圖中,可在虛設閘極72、遮罩74及/或鰭片52的曝露表面上形成閘極密封間隔物80。熱氧化或沉積、後續接著各向異性蝕刻,可形成閘極密封間隔物80。閘極密封間隔物80可由氧化矽、氮化矽、氮氧化矽等形成。
在形成閘極密封間隔物80之後,可執行用於輕摻雜的源極/汲極(lightly doped source/drain,LDD)區(未明確繪示)的植入。在具有不同裝置類型的實施例中,類似於上文在第6圖中所論述的植入,可在n型區50N之上形成諸如光阻劑的遮罩,同時曝露p型區50P,且可將適當類型(例如,p型)的雜質植入至p型區50P的曝露鰭片52中。隨後可移除遮罩。隨後,可在p型區50P之上 形成諸如光阻劑的遮罩,同時曝露n型區50N,且可將適當類型的雜質(例如,n型)植入至n型區50N的曝露鰭片52中。隨後可移除遮罩。n型雜質可為先前所論述的n型雜質中之任一者,且p型雜質可為先前所論述的p型雜質中之任一者。輕摻雜的源極/汲極區可具有約1015cm-3至約1019cm-3的雜質濃度。可使用退火來修復植入損傷且活化植入的雜質。
在第9A圖及第9B圖中,在沿著虛設閘極72及遮罩74的側壁的閘極密封間隔物80上形成閘極間隔物86。可藉由保形地(conformally)沉積絕緣材料及隨後各向異性地蝕刻絕緣材料來形成閘極間隔物86。閘極間隔物86的絕緣材料可為氧化矽、氮化矽、氮氧化矽、碳化矽、其組合等。
應注意,以上揭露內容大體描述了形成間隔物及LDD區的製程。可使用其他製程及順序。例如,可利用更多或額外的間隔物,可利用不同的步驟順序(例如,在形成閘極間隔物86之前,可以不蝕刻閘極密封間隔物80,從而產生「L形」閘極密封間隔物,可形成和移除間隔物等等。此外,n型及p型裝置可使用不同的結構及步驟形成。例如,用於n型裝置的LDD區可在形成閘極密封間隔物80之前形成,而用於p型裝置的LDD區可在形閘極密封間隔物80之後形成。
在第10A圖及第10B圖中,在鰭片52中形成磊晶源極/汲極區82。在鰭片52中形成磊晶源極/汲極區82, 使得每個虛設閘極72設置於磊晶源極/汲極區82的各個相鄰對之間。在一些實施例中,磊晶源極/汲極區82可延伸至鰭片52中,且亦可穿透鰭片52。在一些實施例中,閘極間隔物86用於將磊晶源極/汲極區82與虛設閘極72以適當的橫向距離分離,使得磊晶源極/汲極區82不會使隨後形成的鰭式場效電晶體的閘極短路。可選擇磊晶源極/汲極區82的材料以在相應通道區58中施加應力,從而改良效能。
可藉由遮蔽p型區50P及蝕刻n型區50N中的鰭片52的源極/汲極區以在鰭片52中形成凹部,來形成n型區50N中的磊晶源極/汲極區82。隨後,在凹部中磊晶地成長n型區50N中的磊晶源極/汲極區82。磊晶源極/汲極區82可包括任何可接受的材料,諸如適用於n型鰭式場效電晶體的材料。例如,若鰭片52為矽,則n型區50N中的磊晶源極/汲極區82可包括在通道區58中施加拉伸應變的材料,諸如矽、碳化矽、摻磷的碳化矽、磷化矽等。n型區50N中的磊晶源極/汲極區82可具有自鰭片52的相應表面凸起的表面,且可具有刻面(facets)。
可藉由遮蔽n型區50N及蝕刻p型區50P中的鰭片52的源極/汲極區以在鰭片52中形成凹部,來形成p型區50P中的磊晶源極/汲極區82。隨後,在凹部中磊晶地成長p型區50P中的磊晶源極/汲極區82。磊晶源極/汲極區82可包括任何可接受的材料,諸如適用於p型鰭式場效電晶體的材料。例如,若鰭片52為矽,則p型區50P 中的磊晶源極/汲極區82可包含在通道區58中施加壓縮應變的材料,諸如矽鍺、摻硼的矽鍺、鍺、鍺錫等。p型區50P中的磊晶源極/汲極區82可具有自鰭片52的相應表面凸起的表面且可具有刻面(facets)。
可用摻雜劑植入磊晶源極/汲極區82及/或鰭片52以形成源極/汲極區,類似於先前所論述的用於形成輕摻雜的源極/汲極區的製程,後續接著退火。源極/汲極區可具有在約1019cm-3與約1021cm-3之間的雜質濃度。用於源極/汲極區的n型及/或p型雜質可為先前所論述的雜質中之任一者。在一些實施例中,可在成長期間原位(in situ)摻雜磊晶源極/汲極區82。
作為用於形成n型區50N及p型區50P中的磊晶源極/汲極區82的磊晶製程的結果,磊晶源極/汲極區82的上表面具有刻面(facets),這些刻面(facets)橫向向外擴展超出鰭片52的側壁。在一些實施例中,此等刻面(facets)使同一鰭式場效電晶體的相鄰源極/汲極區82合併,如第10C圖所示。在其他實施例中,在磊晶製程完成之後,相鄰源極/汲極區82保持分離,如第10D圖所示。在第10C圖及第10D圖中所示的實施例中,形成閘極間隔物86覆蓋鰭片52在STI區56上方延伸的側壁的一部分,從而阻止磊晶成長。在一些其他實施例中,可調整用於形成閘極間隔物86的間隔物蝕刻,以移除間隔物材料來允許磊晶地成長的區域延伸至STI區56的表面。
在第11A圖及第11B圖中,在第10A圖及第10B 圖中所示的結構之上沉積第一層間介電質(interlayer dielectric,ILD)88。第一層間介電質88可由介電材料形成,且可藉由任何適當方法(諸如CVD、電漿增強CVD(plasma-enhanced CVD,PECVD)或FCVD)來沉積。介電材料可包括磷矽酸鹽玻璃(phospho-silicate glass,PSG)、硼矽酸鹽玻璃(boro-silicate glass,BSG)、摻硼的磷矽酸鹽玻璃(boron-doped phospho-silicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)等。可使用藉由任何可接受的製程形成的其他絕緣材料。在一些實施例中,接觸蝕刻停止層(contact etch stop layer,CESL)87設置於第一層間介電質88與磊晶源極/汲極區82、遮罩74及閘極間隔物86之間。接觸蝕刻停止層87可包含蝕刻速率比上覆的第一層間介電質88的材料低的介電材料,諸如氮化矽、氧化矽、氮氧化矽等。
在第12A圖及第12B圖中,可執行諸如化學機械拋光的平坦化製程以使第一層間介電質88的頂表面與虛設閘極72或遮罩74的頂表面齊平。平坦化製程亦可移除虛設閘極72上的遮罩74、以及沿著遮罩74的側壁的部分閘極密封間隔物80及閘極間隔物86。在平坦化製程之後,虛設閘極72、閘極密封間隔物80、閘極間隔物86及第一層間介電質88的頂表面是齊平的。因此,虛設閘極72的頂表面通過第一層間介電質88曝露。在一些實施例中,遮罩74可保留,在此情況下,平坦化製程使第一層間 介電質88的頂表面與遮罩74的頂表面齊平。
在第13A圖及第13B圖中,在一或多個蝕刻步驟中移除虛設閘極72及遮罩74(若存在),以形成凹部90。在凹部90中的部分虛設介電層60亦被移除。在一些實施例中,僅虛設閘極72被移除,保留虛設介電層60且由凹部90曝露。在一些實施例中,虛設介電層60在晶粒的第一區(例如,核心邏輯區)中自凹部90被移除,且在晶粒的第二區(例如,輸入/輸出區)中保留在凹部90中。在一些實施例中,藉由各向異性乾式蝕刻製程移除虛設閘極72。例如,蝕刻製程可包括使用一或多種反應氣體的乾式蝕刻製程,其選擇性地蝕刻虛設閘極72,而對第一層間介電質88或閘極間隔物86進行很少的蝕刻或未蝕刻。每個凹部90曝露且/或上覆於相應鰭片52的通道區58。每個通道區58設置於磊晶源極/汲極區82的相鄰對之間。在移除期間,在蝕刻虛設閘極72時,虛設介電層60可用作蝕刻停止層。隨後可在移除虛設閘極72之後可選地移除虛設介電層60。
在第14A圖及第14B圖中,形成閘極介電層92及閘電極94以替換閘極。第14C圖繪示第14B圖的區89的詳細視圖。閘極介電層92可為沉積於凹部90中的一或多個層,例如在鰭片52的頂表面及側壁上以及在閘極密封間隔物80/閘極間隔物86的側壁上。閘極介電層92亦可形成於第一層間介電質88的頂表面上。在一些實施例中,閘極介電層92包含一或多個介電層,例如一或多層的 氧化矽、氮化矽、金屬氧化物、金屬矽酸鹽等。例如,在一些實施例中,閘極介電層92包括例如由熱氧化或化學氧化形成的氧化矽介面層92A及上覆的高k介電材料92B(諸如金屬氧化物或鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛的矽酸鹽及其組合)。閘極介電層92可包括具有大於約7.0的k值的介電層。閘極介電層92的形成方法可包括分子束沉積(Molecular-Beam Deposition,MBD)、ALD、PECVD等。在虛設介電層60的部分保留在凹部90中的實施例中,閘極介電層92包括虛設介電層60的材料(例如SiO2)。
閘電極94沉積於閘極介電層92之上且填充凹部90的剩餘部分。閘電極94可包括含金屬材料,諸如氮化鈦、氧化鈦、碳氮化鈦、氮化鉭、碳化鉭、碳氮化鉭、鈦鋁、鈷、釕、鋁、鎢、其組合,或其多層。例如,儘管第14B圖中繪示了單層閘電極94,但是閘電極94可包含任意數量的p型功函數調整層94A、任意數量的n型功函數調整層94B、一或多個黏膠層94C及填充材料94D,如第14C圖中所示。在n型區50N中,可在沉積一或多個n型功函數調整層94B之前移除p型功函數調整層94A。在填充凹部90之後,可執行諸如化學機械拋光的平坦化製程以移除閘極介電層92及閘電極94的材料的過量部分,這些過量部分位在層間介電質88的頂表面之上。閘電極94的材料及閘極介電層92的剩餘部分因此形成所得鰭式場效電晶體的替換閘極。閘電極94及閘極介電層92可被 共同稱為「閘極堆疊」。閘極及閘極堆疊可沿著鰭片52的通道區58的側壁延伸。
閘極介電層92在n型區50N及p型區50P中的形成可同時發生,以使得每個區中的閘極介電層92由相同的材料形成,且閘電極94的形成可同時發生,以使得每個區中的閘電極94由相同的材料形成。在一些實施例中,可在沉積一或多個功函數調整層94B之前自n型區50N移除p型功函數調整層94A。在一些實施例中,每個區中的閘極介電層92可藉由不同的製程形成,以使得閘極介電層92可為不同的材料,且/或每個區中的閘電極94可藉由不同的製程形成,以使得閘電極94可為不同的材料。在使用不同的製程時,可使用各種遮蔽步驟來遮蔽並曝露適當的區。
第15A圖至第15D圖為根據一些實施例繪示的形成閘電極94的橫截面視圖。為便於說明,針對p型區50P及n型區50N僅繪示了閘電極的詳細視圖(例如,類似於第14B圖及第14C圖的區89)。
在第15A圖中,將p型功函數調整層94A沉積於p型區50P及n型區50N中的凹部90中。在一些實施例中,p型功函數調整層94A包含使用原子層沉積製程形成的碳氮化鈦(titanium carbon nitride,Ti-C-N)。第16A圖繪示當p型功函數調整層94A包含Ti-C-N時的實施例原子層沉積製程200的製程流程。原子層沉積製程200可包括沉積循環202、204及206,其全部在單個 製程中執行(例如,在同一處理室內且在不會破壞真空的情況下原位執行)。沉積循環(deposition loop)202、204及206中之每一者可在0.2Å/循環(loop)至8Å/循環(loop)範圍內的速率下進行沉積。在一些實施例中,原子層沉積製程200可在200℃至600℃範圍內的溫度下、在0.5托至50托的壓力下執行。
原子層沉積製程200由一或多個沉積循環202開始,以沉積一或多個氮化鈦單層。每個沉積循環202包括將第一含鈦前驅物(例如,TiCl4等)脈衝至ALD室中、排氣ALD室、將第二含氮前驅物(例如,NH3)脈衝至ALD室中及排氣ALD室。含鈦前驅物與含氮前驅物反應以在凹部90的曝露表面上沉積氮化鈦單層。每個沉積循環202沉積單個氮化鈦單層,且可執行任意數量的沉積循環202以沉積所要數量的氮化鈦單層。
原子層沉積製程200繼續進行一或多個沉積循環204,以沉積一或多個碳化鈦單層。每個沉積循環204包括將第一含鈦前驅物(例如,TiCl4等)脈衝至ALD室中、排氣ALD室、將第三含碳前驅物(例如,三甲基鋁(trimethylaluminum,TMA)、三乙基鋁(aluminum triethyl,TEA)等)脈衝至ALD室中及排氣ALD室。含鈦前驅物與含碳前驅物反應以在凹部90的曝露表面上沉積碳化鈦單層。每個沉積循環204沉積單個碳化鈦單層,且可執行任意數量的沉積循環204以沉積所要數量的碳化鈦單層。
隨後,原子層沉積製程200繼續進行一或多個沉積循環206,以沉積一或多個額外的氮化鈦單層。每個沉積循環206包括將第一含鈦前驅物(例如,TiCl4等)脈衝至ALD室中、排氣ALD室、將第二含氮前驅物(例如,NH3)脈衝至ALD室中及排氣ALD室。類似於沉積循環202,含鈦前驅物與含氮前驅物反應以在凹部90的曝露表面上沉積氮化鈦單層。每個沉積循環206沉積單個氮化鈦單層,且可執行任意數量的沉積循環206以在碳化鈦單層之上沉積所要數量的氮化鈦單層。
或者,在一些實施例中,p型功函數調整層94A包含使用原子層沉積製程形成的碳氮化鉭(tantalum carbon nitride,Ta-C-N)。第16B圖繪示當p型功函數調整層94A包含Ta-C-N時,實施例原子層沉積製程210的製程流程。原子層沉積製程210可包括沉積循環212、214及216,其全部在單個製程中執行(例如,在同一處理室內且在不會破壞真空的情況下原位執行)。沉積循環212、214及216中之每一者可在0.2Å/循環至8Å/循環範圍內的速率下進行沉積。在一些實施例中,原子層沉積製程210可在200℃至600℃範圍內的溫度下、在0.5托至50托的壓力下執行。
原子層沉積製程210由一或多個沉積循環212開始,以沉積一或多個氮化鉭單層。每個沉積循環212包括將第四含鉭前驅物(例如,TaCl5等)脈衝至ALD室中、排氣ALD室、將第二含氮前驅物(例如,NH3)脈衝至ALD 室中及排氣ALD室。含鉭前驅物與含氮前驅物反應以在凹部90的曝露表面上沉積氮化鉭單層。每個沉積循環212沉積單個氮化鉭單層,且可執行任意數量的沉積循環212以沉積所要數量的氮化鉭單層。
原子層沉積製程210繼續進行一或多個沉積循環214,以沉積一或多個碳化鉭單層。每個沉積循環214包括將第四含鉭前驅物(例如,TaCl5等)脈衝至ALD室中、排氣ALD室、將第三含碳前驅物(例如,TMA、TEA等)脈衝至ALD室中及排氣ALD室。含鉭前驅物與含碳前驅物反應以在凹部90的曝露表面上沉積碳化鈦單層。每個沉積循環214沉積單個碳化鉭單層,且可執行任意數量的沉積循環214以沉積所要數量的碳化鉭單層。
隨後,原子層沉積製程210繼續進行一或多個沉積循環216,以沉積一或多個額外的氮化鉭單層。每個沉積循環216包括將第四含鉭前驅物(例如,TaCl5等)脈衝至ALD室中、排氣ALD室、將第二含氮前驅物(例如,NH3)脈衝至ALD室中及排氣ALD室。類似於沉積循環212,含鉭前驅物與含氮前驅物反應以在凹部90的曝露表面上沉積氮化鉭單層。每個沉積循環216沉積單個氮化鉭單層,且可執行任意數量的沉積循環216以在碳化鉭單層之上沉積所要數量的氮化鉭單層。
藉由調整原子層沉積製程中的碳化物沉積循環(例如,上文所描述的循環204或214)的數量及/或調整原子層沉積製程中的氮化物沉積循環(例如,上文所描述的循環 202、206、212及/或216)的數量,可調整p型功函數調整層94A中的碳氮比率以使得達成所要的功函數。例如,藉由增加原子層沉積製程中的碳化物沉積循環的數目,可增加p型功函數調整層94A中的碳氮比率。藉由將p型功函數調整層94A沉積為具有相對高的碳氮比率,可達成較低的功函數(例如,更為n型)。此外,藉由將p型功函數調整層94A沉積為具有相對低的碳氮比率,可達成較高的功函數(例如,更為p型)。在一些實施例中,p型功函數調整層94A中的碳氮比率在0.05至0.95的範圍內。在一些實施例中,p型功函數調整層94A中的碳氮比率在0.05至0.55的範圍內,其允許精確調整裝置之各種電晶體的功函數(及相關聯的閾值電壓)。因此,各種實施例提供一種以改良的精度調整p型功函數調整層的功函數的方法。
此外,儘管繪示了僅一個p型功函數調整層,但是應理解,一些實施例可包括具有第一閘電極及第二閘電極的半導體裝置,第一閘電極及第二閘電極具有不同的p型功函數調整層。例如,第一閘電極可包括具有第一碳氮比率的第一p型功函數調整層,且第二閘電極可包括具有第二碳氮比率的第二p型功函數調整層。第一碳氮比率可不同於第二碳氮比率。第一及第二p型功函數調整層可例如藉由在第一及/或第二p型功函數調整層的沉積及/或蝕刻期間適當地遮蔽用於第一及第二閘電極中之每一者的凹部來選擇性地形成。以此方式,可基於電路設計形成不同 類型的閘電極,其具有不同的p型功函數調整層、不同的功函數及不同的閾值電壓。例如,在一些實施例中,第一碳氮比率可高於第二碳氮比率,且因此第一閘電極可具有比第二閘電極低的功函數(例如,較低的閾值電壓)。
參考第15B圖,處理可繼續進行至從n型區50N中的凹部90移除p型功函數調整層94A,同時留下p型區50P中的凹部90中的p型功函數調整層94A。在一些實施例中,自n型區50N選擇性地移除p型功函數調整層94A可藉由遮蔽p型區50P中的p型功函數調整層94A來達成。例如,可將遮罩(例如,背面抗反射(back side anti-reflective,BARC)層)沉積於p型區50P中的凹部90中以覆蓋p型功函數調整層94A,而執行蝕刻製程以自n型區50N移除p型功函數調整層94A。在蝕刻製程之後,隨後可移除遮罩。
在第15C圖中,在n型區50N及p型區50P的凹部90中保形地形成n型功函數調整層94B。此外,可在p型區50P中的p型功函數調整層94A之上沉積n型功函數調整層94B。n型功函數調整層94B可為用來將裝置的功函數調整至所要的量(考慮到要形成的裝置之應用)的任何可接受的材料,且可使用任何可接受的沉積製程來沉積。在一些實施例中,n型功函數調整層94B可包含藉由ALD、CVD、PVD等沉積的鋁(aluminum,Al)、氮化鋁(aluminum nitride,AlN)、鈦鋁(titanium aluminum,TiAl)、鉭鋁(tantalum aluminum,TaAl) 等。
在第15D圖中,在n型區50N及p型區50P中的n型功函數調整層94B上保形地形成黏合(adhesion)或黏膠層(glue layer)94C。黏膠層94C可包含藉由ALD等沉積的氮化鈦(titanium nitride,TiN)等。此外,在第15D圖中,在黏膠層94C上沉積導電材料94D。導電材料94D可包括金屬,諸如鎢(tungsten,W)、鋁(aluminum,Al)、鈷(cobalt,Co)、釕(ruthenium,Ru)、其組合等。導電材料94D可使用CVD、PVD等或其組合來沉積。導電材料94D填充凹部90的剩餘部分。
在沉積導電材料94D之後,可執行諸如化學機械拋光的平坦化製程以移除閘極介電質92、p型功函數調整層94A、n型功函數調整層94B、黏膠層94C及導電材料94D的過量部分,以形成閘極介電質92及閘電極94,該些過量部分在層間介電質88的頂表面之上。
在第17A圖及第17B圖中,可在閘極堆疊(包括閘極介電層92及對應的閘電極94)之上形成閘極遮罩96,且閘極遮罩96可設置於閘極間隔物86的相對部分之間。在一些實施例中,形成閘極遮罩96包括使閘極堆疊凹陷,以直接在閘極堆疊之上且在閘極間隔物86的相對部分之間形成凹部。將包含一或多層介電材料(諸如氮化矽、氮氧化矽等)的閘極遮罩96填充於凹部中,後續接著平坦化製程以移除介電材料在第一層間介電質88之上延伸的過量部分。
亦如第17A圖及第17B圖中所示,在第一層間介電質88之上沉積第二層間介電質108。在一些實施例中,第二層間介電質108是藉由可流動CVD方法形成的可流動膜。在一些實施例中,第二層間介電質108可由諸如PSG、BSG、BPSG、USG等介電材料形成,且可藉由諸如CVD及PECVD的任何適當方法來沉積。隨後形成的閘極接觸件110(第18A圖及第18B圖)穿透第二層間介電質108及閘極遮罩96以接觸凹陷的閘電極94的頂表面。
在第18A圖及第18B圖中,根據一些實施例穿過第二層間介電質108及第一層間介電質88形成閘極接觸件110及源極/汲極接觸件112。穿過第一及第二層間介電質88、108形成用於源極/汲極接觸件112的開口,且穿過第二層間介電質108及閘極遮罩96形成用於閘極接觸件110的開口。可使用可接受的微影技術及蝕刻技術形成開口。在開口中形成諸如擴散障壁層、黏合層等襯裡(未圖示),及導電材料。襯裡可包括鈦、氮化鈦、鉭、氮化鉭等。導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳等。可執行諸如化學機械拋光的平坦化製程以自層間介電質108的表面移除過量材料。剩餘的襯裡及導電材料在開口中形成源極/汲極接觸件112及閘極接觸件110。可執行退火製程以在磊晶源極/汲極區82與源極/汲極接觸件112之間的介面處形成矽化物。源極/汲極接觸件112實體耦接且電耦接至磊晶源極/汲極區82,且閘極接觸件 110實體耦接且電耦接至閘電極106。源極/汲極接觸件112及閘極接觸件110可在不同的製程中形成,或可在同一製程中形成。儘管展示為在相同的橫截面中形成,但是應瞭解,源極/汲極接觸件112及閘極接觸件110中之每一者可在不同的橫截面中形成,從而可避免接觸件短路。
所揭露的鰭式場效電晶體實施例亦可應用於奈米結構裝置,諸如奈米結構(例如,奈米片、奈米線、全環繞閘極等)場效電晶體(nanostructure field effect transistors,NSFET)。在一奈米結構場效電晶體實施例中,鰭片由藉由圖案化通道層及犧牲層的交替層的堆疊而形成的奈米結構替換。以類似於上述實施例的方式形成虛設閘極堆疊及源極/汲極區。在移除虛設閘極堆疊之後,可在通道區中部分或完全移除犧牲層。以類似於上述實施例的方式形成替換閘極結構,替換閘極結構可部分或完全填充移除犧牲層所留下的開口,且替換閘極結構可部分或完全圍繞奈米結構場效電晶體裝置的通道區中的通道層。可以類似於上述實施例的方式形成層間介電質及至替換閘極結構及源極/汲極區的接觸件。可如美國專利申請公開案第US 2016/0365414號中所揭露來形成奈米結構裝置,該公開案以全文引用的方式併入本文中。
各種實施例提供一種沉積閘電極之功函數金屬層以用於改良功函數調整的方法。在一些實施例中,功函數金屬層是藉由原子層沉積製程形成的p型層。原子層沉積製程可沉積氮化物單層(例如,氮化鈦、氮化鉭等)及碳化 物單層(例如,碳化鈦、碳化鉭等)的組合。藉由調整所沉積的碳化物單層之數量與氮化物單層之數量的比率,可更精確地調整閘電極的功函數。例如,功函數金屬層中較高的碳氮比率可導致功函數金屬層具有較低的功函數(例如,更為n型),且功函數金屬層中較低的碳氮比率可導致功函數金屬層具有較高的功函數(例如,更為p型)。
在一些實施例中,一種用於形成閘電極的方法包括使用原子層沉積製程在閘極介電層之上沉積第一功函數調整層,其中原子層沉積製程包含:沉積一或多個第一氮化物單層;及在一或多個第一氮化物單層之上沉積一或多個碳化物單層;沉積第一功函數調整層的黏合層;及在黏合層之上沉積導電材料。可選地,在一些實施例中,沉積一或多個第一氮化物單層包含:將含金屬前驅物脈衝至執行原子層沉積製程的沉積室中;及將含氮前驅物脈衝至沉積室中。可選地,在一些實施例中,含金屬前驅物為TiCl4,且含氮前驅物為NH3。可選地,在一些實施例中,含金屬前驅物為TaCl5,且含氮前驅物為NH3。可選地,在一些實施例中,沉積一或多個碳化物單層包含:將含金屬前驅物脈衝至執行原子層沉積製程的沉積室中;及將含碳前驅物脈衝至沉積室中。可選地,在一些實施例中,含金屬前驅物為TiCl4,且其中含碳前驅物為三甲基鋁(trimethylaluminum,TMA)或三乙基鋁(aluminum triethyl,TEA)。可選地,在一些實施例中,含金屬前驅物為TaCl5,且其中含碳前驅物為三甲基鋁 (trimethylaluminum,TMA)或三乙基鋁(aluminum triethyl,TEA)。可選地,在一些實施例中,原子層沉積製程進一步包含在一或多個碳化物單層之上沉積一或多個第二氮化物單層。可選地,在一些實施例中,方法進一步包含:在沉積黏合層之前,在第一功函數調整層上沉積第二功函數調整層。可選地,在一些實施例中,第一功函數調整層為p型層,且其中第二功函數調整層為n型層。
在一些實施例中,一種製造半導體裝置的方法包括:在第一閘極間隔物之間形成第一凹部;在第一凹部中沉積p型功函數調整層,其中沉積p型功函數調整層包含:沉積第一氮化物單層;在第一單層之上沉積第二碳化物單層;及在第二單層之上沉積第三氮化物單層;在第一凹部中於p型功函數調整層之上沉積n型功函數調整層;在第一凹部中於n型功函數調整層之上沉積黏合層;及在第一凹部中於黏合層之上沉積導電填充材料。可選地,在一些實施例中,沉積第一單層及沉積第三單層包含沉積含鉭單層。可選地,在一些實施例中,沉積第一單層及沉積第三單層包含沉積含鈦單層。可選地,在一些實施例中,方法進一步包括:在第二閘極間隔物之間形成第二凹部;在第二凹部中沉積p型功函數調整層;自第二凹部移除p型功函數調整層的第一部分,同時遮蔽第一凹部中的p型功函數調整層的第二部分;在移除p型功函數調整層的第一部分之後,在第二凹部中沉積n型功函數調整層;在第二凹部中在n型功函數調整層之上沉積黏合層;及在第二凹部中在黏合 層之上沉積導電填充材料。可選地,在一些實施例中,沉積第二單層包含使含碳前驅物流動,且其中含碳前驅物為三甲基鋁(trimethylaluminum,TMA)或三乙基鋁(aluminum triethyl,TEA)。
在一些實施例中,一種半導體裝置包括:第一源極/汲極區;第二源極/汲極區;及在第一源極/汲極區與第二源極/汲極區之間的第一閘極,第一閘極包含:閘極介電質;及在閘極介電質之上的閘電極,閘電極包含:在閘極介電質之上的第一p型功函數調整金屬,第一p型功函數調整金屬包含碳及氮;在第一p型功函數調整金屬之上的黏合層;及在黏合層之上的填充金屬。可選地,在一些實施例中,第一p型功函數調整金屬進一步包含鈦。可選地,在一些實施例中,第一p型功函數調整金屬進一步包含鉭。可選地,在一些實施例中,半導體裝置進一步包括在第一p型功函數調整金屬與黏合層之間的n型功函數調整金屬。在一些實施例中,第一p型功函數調整金屬中的碳氮比率在0.05至0.55的範圍內。
前述內容概述了若干實施例的特徵,以便熟習此項技術者可更好地理解本揭露之實施方式的態樣。熟習此項技術者應瞭解,他們可容易使用本揭露之實施方式作為基礎來設計或修改其他製程及結構以便實現本文所介紹的實施例的相同目的及/或達成此等實施例的相同優點。熟習此項技術者亦應意識到,此類等效構造不脫離本揭露之實施方式的精神及範疇,且他們可在不脫離本揭露之實施方式 的精神及範疇的情況下在本文中進行各種改變、替代及變更。
50:基板
52:鰭片
58:通道區
82:源極/汲極區
88:第一層間介電質
108:第二層間介電質
110:閘極接觸件
112:源極/汲極接觸件

Claims (10)

  1. 一種用於形成閘電極的方法,包含:使用一原子層沉積製程在一閘極介電層之上沉積一第一功函數調整層,其中該原子層沉積製程包含:沉積一或多個第一氮化物單層;在該一或多個第一氮化物單層之上沉積一或多個碳化物單層;和在該一或多個碳化物單層之上沉積一或多個第二氮化物單層,其中該第一功函數調整層中的一碳氮比率在0.05至0.95的範圍內;沉積該第一功函數調整層的一黏合層;以及在該黏合層之上沉積一導電材料。
  2. 如請求項1所述之方法,其中沉積該一或多個第一氮化物單層包含:將一含金屬前驅物脈衝至執行該原子層沉積製程的一沉積室中;以及將一含氮前驅物脈衝至該沉積室中。
  3. 如請求項1所述之方法,其中沉積該一或多個碳化物單層之步驟包含:將一含金屬前驅物脈衝至執行該原子層沉積製程的一沉積室中;及將一含碳前驅物脈衝至該沉積室中。
  4. 如請求項1所述之方法,其中所述一或多個第一氮化物單層和所述一或多個第二氮化物單層為氮化鈦或氮化鉭,所述一或多個碳化物單層為碳化鈦或碳化鉭。
  5. 如請求項1所述之方法,其中該方法進一步包含:在沉積該黏合層之前,在該第一功函數調整層上沉積一第二功函數調整層。
  6. 一種製造半導體裝置的方法,包含:在一第一閘極間隔物之間形成一第一凹部;在該第一凹部中沉積一p型功函數調整層,其中沉積該p型功函數調整層包含:沉積多個第一氮化物單層;在該些第一氮化物單層之上沉積多個第二碳化物單層;及在該些第二碳化物單層之上沉積多個第三氮化物單層,其中該p型功函數調整層中的一碳氮比率在0.05至0.95的範圍內;在該第一凹部中於該p型功函數調整層之上沉積一n型功函數調整層;在該第一凹部中於該n型功函數調整層之上沉積一黏合層;及在該第一凹部中於該黏合層之上沉積一導電填充材料。
  7. 如請求項6所述之方法,其進一步包含:在一第二閘極間隔物之間形成一第二凹部;在該第二凹部中沉積該p型功函數調整層;自該第二凹部移除該p型功函數調整層的一第一部分,同時遮蔽該第一凹部中的該p型功函數調整層的一第二部分;在移除該p型功函數調整層的該第一部分之後,在該第二凹部中沉積該n型功函數調整層;在該第二凹部中於該n型功函數調整層之上沉積該黏合層;及在該第二凹部中於該黏合層之上沉積該導電填充材料。
  8. 如請求項6所述之方法,其中沉積該些第二單層包含使一含碳前驅物流動,且其中該含碳前驅物為三甲基鋁(TMA)或三乙基鋁(TEA)。
  9. 一種半導體裝置,包含:一第一源極/汲極區;一第二源極/汲極區;及在該第一源極/汲極區與該第二源極/汲極區之間的一第一閘極,該第一閘極包含:一閘極介電質;及在該閘極介電質之上的一閘電極,該閘電極包含: 在該閘極介電質之上的一第一p型功函數調整金屬,該第一p型功函數調整金屬包含碳及氮,其中該第一p型功函數調整金屬包含一或多個第一氮化物單層、在所述一或多個第一氮化物單層之上的一或多個第二碳化物單層、和在所述一或多個第二碳化物單層之上的一或多個第三氮化物單層,其中該第一p型功函數調整金屬中的一碳氮比率在0.05至0.95的範圍內;在該第一p型功函數調整金屬之上的一黏合層;及在該黏合層之上的一填充金屬。
  10. 如請求項9所述之半導體裝置,其中該第一p型功函數調整金屬中的該碳氮比率在0.05至0.55的範圍內。
TW110100645A 2020-08-05 2021-01-07 形成閘電極的方法、半導體裝置及製造半導體裝置的方法 TWI760052B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063061349P 2020-08-05 2020-08-05
US63/061,349 2020-08-05
US17/078,655 2020-10-23
US17/078,655 US11527621B2 (en) 2020-08-05 2020-10-23 Gate electrode deposition and structure formed thereby

Publications (2)

Publication Number Publication Date
TW202207289A TW202207289A (zh) 2022-02-16
TWI760052B true TWI760052B (zh) 2022-04-01

Family

ID=78101655

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110100645A TWI760052B (zh) 2020-08-05 2021-01-07 形成閘電極的方法、半導體裝置及製造半導體裝置的方法

Country Status (5)

Country Link
US (2) US11527621B2 (zh)
KR (1) KR102454025B1 (zh)
CN (1) CN113555278A (zh)
DE (1) DE102020128427A1 (zh)
TW (1) TWI760052B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150035073A1 (en) * 2013-08-05 2015-02-05 Globalfoundries Inc. Enabling enhanced reliability and mobility for replacement gate planar and finfet structures
US20150262828A1 (en) * 2014-03-14 2015-09-17 Applied Materials, Inc. MULTI-THRESHOLD VOLTAGE (Vt) WORKFUNCTION METAL BY SELECTIVE ATOMIC LAYER DEPOSITION (ALD)
US20160190305A1 (en) * 2014-12-30 2016-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and Method for 3D FinFET Metal Gate

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7153784B2 (en) 2004-04-20 2006-12-26 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7064066B1 (en) * 2004-12-07 2006-06-20 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric and a titanium carbide gate electrode
US7381608B2 (en) * 2004-12-07 2008-06-03 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric and a metal gate electrode
US7544604B2 (en) * 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7682891B2 (en) 2006-12-28 2010-03-23 Intel Corporation Tunable gate electrode work function material for transistor applications
US8088685B2 (en) * 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
TWI536451B (zh) * 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
US9136180B2 (en) 2011-06-01 2015-09-15 Asm Ip Holding B.V. Process for depositing electrode with high effective work function
US9337303B2 (en) * 2011-09-24 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stack having TiAICN as work function layer and/or blocking/wetting layer
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9431304B2 (en) * 2014-12-22 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for metal gates
US9941376B2 (en) * 2015-04-30 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9601567B1 (en) 2015-10-30 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple Fin FET structures having an insulating separation plug
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR102354369B1 (ko) 2015-11-20 2022-01-21 삼성전자주식회사 반도체 소자
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10854459B2 (en) * 2017-09-28 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure passivating species drive-in method and structure formed thereby
US10529815B2 (en) * 2017-10-31 2020-01-07 International Business Machines Corporation Conformal replacement gate electrode for short channel devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150035073A1 (en) * 2013-08-05 2015-02-05 Globalfoundries Inc. Enabling enhanced reliability and mobility for replacement gate planar and finfet structures
US20150262828A1 (en) * 2014-03-14 2015-09-17 Applied Materials, Inc. MULTI-THRESHOLD VOLTAGE (Vt) WORKFUNCTION METAL BY SELECTIVE ATOMIC LAYER DEPOSITION (ALD)
US20160190305A1 (en) * 2014-12-30 2016-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and Method for 3D FinFET Metal Gate

Also Published As

Publication number Publication date
US20220045178A1 (en) 2022-02-10
TW202207289A (zh) 2022-02-16
CN113555278A (zh) 2021-10-26
DE102020128427A1 (de) 2022-02-10
US11527621B2 (en) 2022-12-13
KR102454025B1 (ko) 2022-10-12
US20230115763A1 (en) 2023-04-13
KR20220017809A (ko) 2022-02-14

Similar Documents

Publication Publication Date Title
CN110838487B (zh) 半导体器件及方法
CN112086514A (zh) 半导体装置
US12015031B2 (en) Semiconductor device and method
CN113013089A (zh) 半导体装置的制造方法
US20240170536A1 (en) Semiconductor device and method
US20240145543A1 (en) Semiconductor device and method of forming the same
TWI785589B (zh) 半導體裝置及其形成方法
US20230261051A1 (en) Transistor Gate Structures and Methods of Forming the Same
US20230093717A1 (en) Methods of Forming Semiconductor Devices
CN114975275A (zh) 半导体器件和方法
CN113594093A (zh) 半导体装置的形成方法
TWI760052B (zh) 形成閘電極的方法、半導體裝置及製造半導體裝置的方法
CN113206089A (zh) 半导体器件和方法
TW202101599A (zh) 半導體裝置之形成方法
US12021116B2 (en) Semiconductor gates and methods of forming the same
TWI821698B (zh) 半導體元件及其製造方法
CN218498075U (zh) 半导体装置
TWI807706B (zh) 半導體裝置及其製造方法
US20230317859A1 (en) Transistor gate structures and methods of forming thereof
US20220293731A1 (en) Semiconductor Device and Method
US20210126101A1 (en) Gate structure of a semiconductor device and method of forming same
TW202320228A (zh) 半導體裝置及其製造方法
TW202341287A (zh) 半導體裝置及其製造方法
TW202109885A (zh) 半導體裝置
CN114551578A (zh) 半导体装置和其形成方法