JP2000150617A - 搬送装置 - Google Patents

搬送装置

Info

Publication number
JP2000150617A
JP2000150617A JP10343585A JP34358598A JP2000150617A JP 2000150617 A JP2000150617 A JP 2000150617A JP 10343585 A JP10343585 A JP 10343585A JP 34358598 A JP34358598 A JP 34358598A JP 2000150617 A JP2000150617 A JP 2000150617A
Authority
JP
Japan
Prior art keywords
link
arm
shaft
joint
drive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10343585A
Other languages
English (en)
Inventor
Hiroaki Saeki
弘明 佐伯
Keisuke Kondo
圭祐 近藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP10343585A priority Critical patent/JP2000150617A/ja
Priority to DE69940220T priority patent/DE69940220D1/de
Priority to PCT/JP1999/006358 priority patent/WO2000029176A1/ja
Priority to EP99972143A priority patent/EP1207025B1/en
Priority to KR1020017006186A priority patent/KR100617504B1/ko
Priority to US09/856,097 priority patent/US6450757B1/en
Priority to TW088119972A priority patent/TW444243B/zh
Publication of JP2000150617A publication Critical patent/JP2000150617A/ja
Priority to US10/218,997 priority patent/US6699003B2/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • B25J9/1065Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • B25J9/1065Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms
    • B25J9/107Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms of the froglegs type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/13Handlers utilizing parallel links
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/20Control lever and linkage systems
    • Y10T74/20207Multiple controlling elements for single controlled element
    • Y10T74/20305Robotic arm
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/20Control lever and linkage systems
    • Y10T74/20207Multiple controlling elements for single controlled element
    • Y10T74/20305Robotic arm
    • Y10T74/20329Joint between elements

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Manipulator (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 【課題】 例えば半導体ウエハの処理室は高温で腐食性
の高い環境である場合が多く、このような環境に対して
スチールベルト等のベルトを有するアームを出し入れす
ると、ベルトが高温下の腐食性環境に曝されることにな
る。スチールベルト等のベルトは耐熱性、耐食性に限度
があり、処理室等の高温、腐食性環境下では寿命が短く
なる。 【解決手段】 本発明の搬送装置は、フロッグレッグ式
アーム3と、これに連結されたウエハ保持体4とを備
え、ウエハ保持体4は、第1、第2の前部アーム8A、
8Bそれぞれの先端部に同軸構造の関節10を介して軸
支されてなり、且つ、第1、第2の前部アーム8A、8
Bとウエハ保持体4とを互いに連結する2つの逆平行リ
ンク機構からなる姿勢保持リンク5を設け、この姿勢保
持リンクを介して第1、第2の前部アーム8A、8Bに
対するウエハ保持体4の回転を規制することを特徴とす
る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、例えば半導体製造
装置等において被搬送体である半導体ウエハを搬送する
搬送装置に関する。
【0002】
【従来の技術】従来のこの種の搬送装置は、例えば処理
装置におけるウエハ収納室と処理室との間で半導体ウエ
ハを搬送する装置として用いられている。この種の搬送
装置としては例えばフロッグレッグ式アームを有するも
のや平行リンク式アームを有するものが知られている。
フロッグレッグ式アームの場合には、例えば基端部が駆
動軸にそれぞれ連結された一対の駆動アームと、これら
の駆動アームの先端部に関節を介してそれぞれ連結され
た一対の前部アームと、これらの前部アームの先端部に
それぞれ連結されたウエハ保持体とを有している。そし
て、例えば一対の前部アームの先端部は上下2段の回転
ドラムを介してウエハ保持体とそれぞれ連結されてい
る。また、各上下回転ドラムには上下2本のスチールベ
ルトがたすき掛けされ、一対の前部アームが確実に同期
して同一角度ずつ逆方向へ回転するようにしてある。あ
るいは回転ドラム及びスチールベルトからなる姿勢保持
機構に換えて歯車機構が使用されている。このような姿
勢保持機構によりフロッグレッグ式アームが左右対称を
保持した姿勢で屈伸するようにしてある。また、このよ
うな姿勢保持機構は動力伝達機構として平行リンク式ア
ームの場合にも関節部等に使用されている。
【0003】
【発明が解決しようとする課題】しかしながら、例えば
半導体ウエハの処理室は高温で腐食性の高い環境である
場合が多く、このような環境に対してスチールベルト等
のベルトを有するアームを出し入れすると、ベルトが高
温下の腐食性環境に曝されることになる。スチールベル
ト等のベルトは耐熱性、耐食性に限度があり、処理室等
の高温、腐食性環境下では寿命が短くなるという課題が
あった。また、歯車を用いた搬送装置の場合にはスチー
ルベルト等のような問題はないが、歯車の場合にはパー
ティクルを発生し易く、また、バックラッシ等で搬送精
度上の課題があった。
【0004】本発明は、上記課題を解決するためになさ
れたもので、ベルトや歯車等の伝達機構を使うことな
く、耐熱性、耐腐食性に優れ常に安定した姿勢で半導体
ウエハ等の被搬送体を正確且つ確実に搬送することがで
きる搬送装置を提供することを目的としている。
【0005】
【課題を解決するための手段】本発明の請求項1に記載
の搬送装置は、支持体と、この支持体で支持された第
1、第2の軸と、第1、第2の軸に基端部で連結された
フロッグレッグ式アームと、このフロッグレッグ式アー
ムの先端部に連結された、被搬送体を保持する保持体と
を備えた搬送装置において、上記フロッグレッグ式アー
ムは、第1の軸に基端部が軸支された回転可能な第1の
駆動アームと、第2の軸に基端部が軸支された回転可能
な第2の駆動アームと、第1の駆動アームの先端部に第
1の関節を介して基端部が軸支された回転可能な第1の
前部アームと、第2の駆動アームの先端部に第2の関節
を介して基端部が軸支された回転可能な第2の前部アー
ムとを備え、上記保持体は、第1、第2の前部アームそ
れぞれの先端部に第3、第4の関節を介して軸支されて
なり、且つ、第1、第2の前部アームと上記保持体とを
互いに連結する2つの相似な逆平行リンク機構からなる
姿勢保持リンクを設け、この姿勢保持リンクを介して第
1、第2の前部アームに対する上記保持体の回転を規制
することを特徴とするものである。
【0006】また、本発明の請求項2に記載の搬送装置
は、請求項1に記載の発明において、第1の軸と第2の
軸は軸芯を共有すると共に第3の関節と第4の関節は軸
芯を共有し、第1、第2の駆動アーム及び第1、第2の
前部アームの長さは全て等しく、第1の駆動アームと対
向するリンクを設け、このリンクの両端部を第1の前部
アーム及び第2の駆動アームにそれぞれ連結して平行リ
ンク機構を構成し、この平行リンク機構は、同軸構造の
第3、第4の関節が同軸構造の第1、第2の軸と重なる
位置とは別の位置で思案点を作るようにしたことを特徴
とするものである。
【0007】また、本発明の請求項3に記載の搬送装置
は、支持体と、この支持体で支持された軸芯を共有する
第1、第2の軸と、第1、第2の軸に基端部で連結され
たフロッグレッグ式アームと、このフロッグレッグ式ア
ームの先端部に連結された、被搬送体を保持する保持体
とを備えた搬送装置において、上記フロッグレッグ式ア
ームは、第1の軸に基端部が軸支された回転可能な第1
の駆動アームと、第2の軸に基端部が軸支された回転可
能な第2の駆動アームと、第1の駆動アームの先端部に
第1の関節を介して基端部が軸支された回転可能な前部
アームと、第1の駆動アームと平行に対向し両端部が上
記前部アーム及び第2の駆動アームにそれぞれ連結され
た2本のリンクとを備え、第1、第2の駆動アーム、前
部アーム及び2本のリンクそれぞれの長さを等しく設定
すると共にこれら3本のアーム及び2本のリンクで2つ
の平行リンク機構を構成し、上記2つの平行リンク機構
は互いに別の位置で思案点を作ると共に第1、第2の駆
動アーム及び上記前部アームは菱形の3辺を構成し、上
記保持体は、上記前部アームそれぞれの先端部に第2の
関節を介して軸支されてなり、且つ、上記前部アームと
第2の駆動アーム先端部と上記保持体とを互いに連結す
る2つの相似な逆平行リンク機構からなる姿勢保持リン
クを設け、この姿勢保持リンクを介して上記前部アーム
に対する上記保持体の回転を規制することを特徴とする
ものである。
【0008】また、本発明の請求項4に記載の搬送装置
は、請求項2または請求項3に記載の発明において、上
記保持体は両端部に被搬送体の保持部を有し、上記保持
部の中間に関節を配置することを特徴とするものであ
る。
【0009】また、本発明の請求項5に記載の搬送装置
は、支持体と、この支持体で基端部側が支持された平行
リンク式アームと、この平行リンク式アームの先端部側
に連結された、被搬送体を保持する保持体とを備えた搬
送装置において、上記平行リンク式アームは、上記支持
体に固定された第1のリンクと、第1のリンクの一端部
を貫通する駆動軸に基端部が軸支された回転可能な駆動
アームと、第1のリンクの他端部に第1の関節を介して
基端部が軸支された回転可能な従動アームと、上記駆動
アームと上記従動アームそれぞれの先端部に互いに第
2、第3の関節を介して軸支された回転可能な第2のリ
ンクと、第2のリンクに基端部がそれぞれ軸支された回
転可能な第1、第2の前部アームとを備え、上記保持体
は、第1、第2の前部アームそれぞれの先端部に第4、
第5の関節を介して軸支されてなり、且つ、上記駆動ア
ームまたは上記従動アームと、第2のリンクと、第1の
前部アームまたは第2の前部アームとを連結する2つの
相似な逆平行リンク機構からなる姿勢保持リンクを設
け、この姿勢保持リンクを介して上記保持体を直進させ
ることを特徴とするものである。
【0010】
【発明の実施の形態】以下、図1〜図17に示す実施形
態に基づいて本発明を説明する。本発明の第1の実施形
態の搬送装置は、例えば図1、図2に示すように、第
1、第2の駆動軸(図示せず)が同軸構造になった駆動
軸(以下便宜上、単に「駆動軸」と称す。)1と、駆動
軸1を軸芯位置で支持すると共に駆動軸1の駆動源を内
蔵した支持体2と、支持体2の駆動軸1に基端部側で連
結されたフロッグレッグ式アーム3と、フロッグレッグ
式アーム3の先端部側に連結されたウエハ保持体4と、
ウエハ保持体4の回転を規制しウエハ保持体4を常に一
定の姿勢に保持する姿勢保持リンク5とを備えている。
駆動軸1を構成する第1の駆動軸は中空軸として形成さ
れ、第2の駆動軸は中空軸の軸芯を貫通する軸として形
成され、第1、第2の軸はいずれも駆動源に連結され、
それぞれ正逆回転可能に構成されている。第1、第2の
軸が逆方向に互いに同一角度ずつ回転することによりフ
ロッグレッグ式アーム3を伸縮するようになっている。
第1、第2の軸が同方向に同一角度ずつ回転することに
よりフロッグレッグ式アーム3による搬送方向を換える
ことができる。従って、本実施形態の搬送装置を例えば
マルチチャンバー処理装置に適用した場合には、複数の
処理室に対して自由にウエハを搬入出することができ
る。
【0011】上記フロッグレッグ式アーム3は、第1の
駆動軸に基端部が軸支された正逆回転可能な第1の駆動
アーム6Aと、第2の駆動軸に基端部が軸支された正逆
回転可能な第2の駆動アーム6Bと、第1の駆動アーム
6Aの先端部に基端部が第1の関節7を介して軸支され
た正逆回転可能な第1の前部アーム8Aと、第2の駆動
アーム6Bの先端部に基端部が第2の関節9を介して軸
支された正逆回転可能な第2の前部アーム8Bとを備え
ている。第1、第2の前部アーム8A、8Bは、それぞ
れの先端部が同軸構造の第3、第4の関節10(以下便
宜上、単に「関節10」と称す。)を介してウエハ保持
体4の基端部の幅方向中央に軸支され、ウエハ保持体4
の基端部においてそれぞれ正逆回転可能に構成されてい
る。第1、第2の前部アーム8A、8Bは第1、第2の
駆動アーム6A、6Bよりも僅かに長く形成されてい
る。そして、ウエハ保持体4と一対の第1、第2の前部
アーム8A、8Bとは互いに姿勢保持リンク5を介して
連結されている。
【0012】而して、本実施形態の姿勢保持リンク5
は、図1に示すように、第1の前部アーム8Aの長手方
向中間部に軸5Aを介して一端部が連結された第1リン
ク5Bと、第1リンク5Bの他端部に軸5Cを介して一
端部が連結され且つウエハ保持体4の基端部に軸5D
(関節10より先端部側で幅方向中央に位置している)
を介して他端部が連結された第2リンク5Eと、第2リ
ンク5Eの軸5D近傍に軸5Fを介して一端部が連結さ
れ且つ第2の前部アームの先端部近傍に軸5Gを介して
他端部が連結された第3リンク5Hとから構成されてい
る。また、図2に破線で示すように、ウエハ保持体4の
関節10と軸5D間で姿勢保持リンク5の第4リンク5
Iを作っている。
【0013】図2は図1に示すフロッグレッグ式アーム
3及び姿勢保持リンク5のリンク機構の説明図で、この
図を参照しながら姿勢保持リンク5について説明する。
姿勢保持リンク5のうち、第1リンク5Bの長さと第4
リンク5Iの長さは等しくなるように設定され、第2リ
ンク5Eの長さと関節10と軸5A間の長さは等しくな
るように設定され、軸5A、軸5C、軸5D、関節10
で第1の逆平行リンク機構が構成されている。第3リン
ク5Hの長さと第4リンク5Iの長さは等しくなるよう
に設定され、軸5D、軸5F間の長さと軸5Gと関節1
0間の長さは等しくなるように設定され、軸5D、軸5
F、軸5G、関節10で第2の逆平行リンク機構が構成
されている。第1リンク5Bの長さに対する第2リンク
5Eの長さの比と、軸5D、軸5F間の長さに対する第
3リンク5Hの長さの比は等しくなるように設定されて
いる。逆平行リンク機構とは平行リンク機構を対角線で
折曲げてできるリンク機構である。
【0014】従って、第1の逆平行リンク機構では、軸
5Aを挟む角度と軸5Dを挟む角度は等しく、軸5Cを
挟む角度と軸10を挟む角度は等しい。また、第2の逆
平行リンク機構では、軸5Dを挟む角度と軸5Gを挟む
角度は等しく、軸5Fを挟む角度と軸10を挟む角度は
等しい。しかも、第1、第2の逆平行リンク機構は、軸
5Dを挟む角度を共有しているため、対応する角度が全
て等しくなり、両者は相似形になっている。これらの関
係はフロッグレッグ式アーム3が如何なる姿勢であって
も成り立つ。以上のことから、第1の逆平行リンク機構
の関節10を挟む角度と第2の逆平行リンク機構の関節
10を挟む角度は常に等しく、ウエハ保持体4で構成す
る第4リンク5Iのアーム側への延長線はフロッグレッ
グ式アーム3が如何なる姿勢であっても第1、第2の前
部アーム8A、8Bの挟角を二等分する。従って、姿勢
保持リンク5はウエハ保持体4を常にフロッグレッグ式
アーム3の先端部で左右対称の姿勢を保持してフロッグ
レッグ式アーム3の伸縮にともなって左右に振れること
なく直進し、ウエハを目的の位置へ正確に搬送すること
ができる。
【0015】図3は本発明の第2の実施形態を示す図で
ある。第2の実施形態ではフロッグレッグ式アーム3の
伸縮距離を長くするため、第1、第2の駆動アーム6
A、6B及び第1、第2の前部アーム8A、8Bの長さ
を全て同じにしてある。また、第1の実施形態の搬送装
置は、フロッグレッグ式アーム3が縮み、第1、第2の
駆動アーム6A、6Bが支持体2上で一直線になり駆動
軸1と第1、第2の前部アーム8A、8Bの関節10が
重なった位置が思案点となる。この位置では関節10が
前後いずれの方向へも移動可能であり、不拘束となるた
め、フロッグレッグ式アーム3が機能しなくなる。この
思案点を回避するために第2の実施形態では思案点回避
リンク11を設けた。
【0016】そこで、第2の実施形態について図3を参
照しながら第1の実施形態と同一部分または相当する部
分には同一符号を附して説明する。本実施形態の搬送装
置は、第1、第2の駆動アーム6A、6B及び第1、第
2の前部アーム8A、8Bの長さを全て同一長さに設定
し、思案点回避リンク11を設けた以外は第1の実施形
態に準じて構成されている。本実施形態における思案点
回避リンク11は、例えば図3に示すように、第1の駆
動アーム6Aと、第1の駆動アーム6Aと平行に対向す
るリンク11Aと、リンク11Aの一端部が軸11Bを
介して連結された、第1の前部アーム8Aから側方に突
出して第1の関節7と軸11Bを連結する突出リンク1
1Cと、リンク11Aの他端部が軸11Dを介して連結
された、第2の駆動アーム6Bから側方に突出して駆動
軸1と軸11Dを連結する突出リンク11Eとからな
り、平行リンク機構として構成されている。つまり、リ
ンク11Aの長さと第1の駆動アーム2の長さは等し
く、突出リンク11Cの長さ(第1の関節7と軸11B
の距離)と突出リンク11Eの長さ(駆動軸1と軸11
Dの距離)は等しくなっている。従って、駆動軸1と関
節10が上下で重なって第1、第2の駆動アーム6A、
6Bが同一直線上に位置し、その上には第1、第2の前
部アーム8A、8Bが重なっても、思案点回避リンク1
1を設けたため、第1、第2の前部アーム8A、8Bは
不拘束にならず、第1、第2の駆動アーム6A、6Bの
駆動方向に応じて前後いずれの方向へも円滑に伸び、ウ
エハ保持体4を確実に前後いずれの方向へも移動させる
ことができ、フロッグレッグ式アーム3の伸縮距離を長
くすることができる。
【0017】また、図3に実線で示したウエハ保持体4
は1枚のウエハを搬送するものであるが、図3に示す搬
送装置のように第1、第2の前部アーム8A、8Bの思
案点を回避したタイプの搬送装置の場合には、支持体2
を基準にして反対方向へも同様に進出することができ
る。そのため、図3の実線部分のウエハ保持体4の基端
部側に同様のウエハ保持体を破線で示すように延設して
2枚のウエハを保持するウエハ保持体4’を使用するこ
とができる。
【0018】そこで、2枚のウエハを搬送できるタイプ
の搬送装置の動作について図4を参照しながら説明す
る。図4の(a)に示すように姿勢保持リンク5の働き
でフロッグレッグ式アーム3が真っ直ぐ伸びた状態で半
導体ウエハWをウエハ保持体4’の一方の保持部で受け
取ると、同図の(b)に示すように駆動軸1の第1、第
2の駆動軸が駆動してフロッグレッグ式アーム3が縮む
が、この際ウエハ保持体4’は姿勢保持リンク5の働き
でウエハWを受け取った位置から真っ直ぐ後退する。
【0019】引き続き駆動軸1が駆動すると、同図の
(c)に示すように支持体2上でフロッグレッグ式アー
ム3の第1、第2の駆動アームがウエハ保持体4と直交
する状態になり、第1、第2の前部アームが第1、第2
の駆動アームと重なる。第1、第2の前部アームと第
1、第2の駆動アームが重なっても思案点回避リンク1
1が思案点にないため、引き続き第1、第2の駆動アー
ム6A、6Bが駆動すると思案点回避リンク11が作動
し、これに伴ってフロッグレッグ式アーム3が図4の
(d)に示すように反対側へ伸び、ウエハ保持体4’が
姿勢保持リンク5の働きと相俟って同図の(a)に示し
た方向とは180°反対側へ直進する。そして、最終的
には同図の(e)に示すようにフロッグレッグ式アーム
3が所定の位置まで伸びて半導体ウエハWの受け渡しを
行う。
【0020】図5は本発明の第3の実施形態を示す図
で、第2の実施形態と同一部分または相当部分には同一
符号を附してある。本実施形態の搬送装置では、図5に
示すように第2の前部アームに代えてリンク8Cが設け
られ、このリンク8Cを介して第1の前部アーム(以
下、「前部アーム」と称す。)8Aの中間と第2の駆動
アーム6Bの中間が連結されている。また、本実施形態
では第2の前部アームを取り除いたため、姿勢保持リン
ク5の軸5Aは第2の前部アームに代えて第2の駆動ア
ーム6Bの先端6Cに連結されている。従って、本実施
形態におけるフロッグレッグ式アーム3は、第1、第2
の駆動アーム6A、6B、前部アーム8A及びリンク8
Cからなる第1の平行リンク機構として構成されてい
る。しかも、第1、第2の駆動アーム6A、6B及び前
部アーム8Aは菱形の三辺として形成されているため、
第2の駆動アーム6Bの先端6Cと関節10の距離は常
に一定になり、第1、第2の駆動アーム6A、6Bを駆
動することによりフロッグレッグ式アーム3を伸縮させ
ることができる。
【0021】また、リンク8Cによる平行リンク機構が
思案点になっても思案点回避リンク11が働くため、前
部アーム8Aは不拘束にならず、第1、第2の駆動アー
ム6A、6Bの駆動方向に応じて前後いずれの方向へも
円滑に伸び、ウエハ保持体4’を確実に前後いずれの方
向へも移動させることができ、フロッグレッグ式アーム
3の伸縮距離を長くすることができる。姿勢保持リンク
5の軸5Aが第2の駆動アーム6Bの先端6Cに連結さ
れているため、軸5A、軸5C、軸5D及び関節10で
第1の逆平行リンク機構が構成される。従って、姿勢保
持リンク5はウエハ保持体4’を常に一定の姿勢を保持
してフロッグレッグ式アーム3の伸縮に伴って左右の振
れることなく直進し、図6の(a)〜(e)に示すよう
にウエハ保持体4’を確実に前後いずれの方向へも移動
させることができ、ウエハを目的の位置へ正確に搬送す
ることができる。また、第2の前部アームを除去したた
め、ウエハ保持体4’の関節10の厚みを薄くすること
ができ、より狭い開口でもウエハ保持体4’を通してウ
エハを搬送することができる。
【0022】以上説明したように第1の実施形態によれ
ば、スチールベルト等のベルトや歯車を使用せず、姿勢
保持リンク5を設けたため、高温で腐食し易い環境下で
あってもフロッグレッグ式アーム3の動作は安定し、し
かも常に一定に姿勢を保持し、目的の位置まで半導体ウ
エハWを正確且つ確実に搬送することができる。
【0023】また、図3に示す第2の実施形態によれ
ば、第1、第2の駆動アーム6A、6B及び第1、第2
の前部アーム8A、8Bを全て同一長さに設定し、思案
点回避リンク11を設けてフロッグレッグ式アーム3の
思案点を回避してあるため、フロッグレッグ式アーム3
は支持体2を基準にして前後いずれの方向へも連続的に
自由に伸縮するため、図3に示すような2枚の半導体ウ
エハWを同時に搬送できるウエハ保持体4’を使用する
ことができ、半導体ウエハWの搬送効率を高めることが
できる。本実施形態においても第1の実施形態と同様の
作用効果を奏し得る。
【0024】また、図5に示す第3の実施形態によれ
ば、第2の前部アームを省略し、ウエハ保持体4’の関
節10の厚みを薄くしたため、より狭い開口を通してウ
エハを搬送することができる。その他、本実施形態にお
いても第2の実施形態と同様の作用効果を奏し得る。
【0025】本発明の第4の実施形態の搬送装置は、例
えば図7〜図9に示すように、第1、第2駆動軸(図示
せず)が同軸構造になった駆動軸(以下便宜上、単に
「駆動軸」と称す。)26と、駆動軸26を軸芯位置で
支持すると共に駆動軸26の駆動源を内蔵した支持体2
1と、この支持体21の駆動軸26に基端部が支持され
た平行リンク式アーム22と、この平行リンク式アーム
22の先端部に連結された、半導体ウエハWを保持する
ウエハ保持体23と、平行リンク式アーム22の姿勢を
規制し常にウエハ保持体23を一定の姿勢に保持する姿
勢保持リンク24とを備えている。駆動軸26を構成す
る第1の駆動軸は中空軸として形成され、第2の駆動軸
は中空軸の軸芯を貫通する軸として形成されている。第
1、第2の駆動軸はいずれも駆動源に連結され、それぞ
れ正逆回転可能に構成されている。第2の駆動軸が正逆
回転することにより平行リンク式アーム22を伸縮させ
るようになっている。また、第1、第2の駆動軸が同方
向に同一角度ずつ回転することにより平行リンク式アー
ム22による搬送方向を換えることができる。従って、
本実施形態の搬送装置を例えばマルチバッチ式処理装置
に適用した場合には、複数の処理室に対して自由にウエ
ハを搬入出することができる。
【0026】上記平行リンク式アーム22は、図7〜図
9に示すように、第1の駆動軸に基端部が軸支された肩
リンク25と、第2の駆動軸に基端部が軸支された正逆
回転可能な駆動アーム27と、肩リンク25の他端部に
基端部が第1の関節28を介して軸支された正逆回転可
能な従動アーム29と、駆動アーム27と従動アーム2
9それぞれの先端部に第2、第3の関節30、31を介
してそれぞれ軸支された正逆回転可能な肘リンク32
と、肘リンク32に基端部が第2の関節30を介して軸
支された正逆回転可能な第1の前部アーム33と、肘リ
ンク32に基端部が第3の関節31を介して軸支された
正逆回転可能な第2の前部アーム34とを備えている。
【0027】上記保持体23は、第1、第2の前部アー
ム33、34それぞれの先端部に第4、第5の関節3
5、36を介して軸支され、平行リンク式アーム22の
先端部で水平に支持されている。本実施形態において
は、駆動アーム27、従動アーム29、第1、第2の前
部アーム33、34は全て同一長さに設定されている。
肘リンク32と駆動アーム27、第1の前部アーム33
とは互いに姿勢保持リンク24を介して連結されてい
る。
【0028】而して、本実施形態の姿勢保持リンク24
は、図7〜図9に示すように、駆動アーム27の長手方
向の略中間部に軸24Aを介して一端部が連結された第
1リンク24Bと、第1リンク24Bの他端部に軸24
Cを介して一端部が連結され且つ肘リンク32の軸30
側からの延長端部に軸24Dを介して他端部が連結され
た第2リンク24Eと、第1の前部アーム33の第2の
関節30からの延長端部に軸24Fを介して一端部が連
結され且つ第2リンク24Eの一部に軸24Gを介して
他端部が連結された第3リンク24Hとから構成されて
いる。
【0029】図10は図9に示す平行リンク式アーム2
2及び姿勢保持リンク24のリンク機構の説明図で、こ
の図を参照しながら姿勢保持リンク24について説明す
る。平行リンク式アーム22は、固定された肩リンク2
5、駆動アーム27、従動アーム29及び肘リンク32
で構成された第1の平行リンク機構と、肘リンク32、
第1、第2の前部アーム33、34及びウエハ保持体2
3の関節35、36間で構成された第2の平行リンク機
構とからなっている。
【0030】上記姿勢保持リンク24のうち、第1リン
ク24Bの長さと第2の関節30と軸24D間の長さは
等しくなるように設定され、第2リンク24Eの長さと
駆動アーム27の第2の関節30と軸24A間の長さは
等しくなるように設定され、軸24A、軸24C、軸2
4D、関節30で本実施形態における第1の逆平行リン
ク機構が構成されている。また、駆動アーム27の第2
の関節30と軸24F間の長さと第2リンク24Eの軸
24Dと軸24G間の長さが等しくなるように設定さ
れ、第3リンク24Hの長さと肘リンク32の第2の関
節30と軸24D間の長さは等しくなるように設定さ
れ、軸24D、軸24G、軸24F、関節30で本実施
形態における第2の逆平行リンク機構が構成されてい
る。更に、第1リンク24Bの長さに対する軸24Aと
第2の関節30間の長さの比と、第2の関節30と軸2
4F間の長さに対する第3リンク24Hの長さの比は等
しくなるように設定されている。
【0031】従って、第1の逆平行リンク機構では、軸
24Aを挟む角度と軸24Dを挟む角度は等しく、軸2
4Cを挟む角度と関節30を挟む角度は等しい。また、
第2の逆平行リンク機構では、軸24Dを挟む角度と軸
24Fを挟む角度は等しく、軸24Gを挟む角度と関節
30を挟む角度は等しい。しかも、第1、第2の逆平行
リンク機構は、軸24Dを挟む角度を共有しているた
め、対応する角度が全て等しくなり、両者は相似形にな
っている。これらの関係は平行リンク式アーム22が如
何なる姿勢であっても成り立つ。以上のことから、第1
の逆平行リンク機構の関節30を挟む角度と第2の逆平
行リンク機構の関節30を挟む角度は常に等しく、肘リ
ンク32は平行リンク式アーム22が如何なる姿勢であ
っても駆動アーム27と第1の前部アーム33の挟角を
二等分する。
【0032】従って、駆動アーム27が駆動軸26の第
2の駆動軸を介して反時計方向へ旋回すると、肘リンク
32は第1の平行リンク機構の働きにより旋回しないた
め、第1の前部アーム33が姿勢保持リンク24の働き
により時計方向に同じ角度だけ旋回する。その結果、ウ
エハ保持体23は肩リンク25上を直進し、その延長線
上に配置された目的の位置へ半導体ウエハWを正確に搬
送することができる。
【0033】次に、図11を参照しながら動作について
説明する。図11の(a)に示すように姿勢保持リンク
24の働きで平行リンク式アーム22が支持体(図11
では省略してある)の右端まで移動した状態から駆動軸
26の第2の駆動軸が駆動して平行リンク式アーム22
の駆動アーム27及び従動アーム29が反時計方向へ旋
回すると、姿勢保持リンク24の働きで第1、第2の前
部アーム33、34が第2のリンク32を基準に時計方
向へ旋回し、その結果ウエハ保持体23は同図の(a)
の位置から左方へ直進し、同図の(b)に示すように第
1、第2の前部アーム33、34が駆動アーム27及び
従動アーム29上で重なると共にウエハ保持体23の関
節35、36が駆動軸26、関節28上に重なる。
【0034】引き続き駆動軸1が駆動すると、駆動アー
ム27、従動アーム29は反時計方向へ旋回し、この間
も姿勢保持リンクの働きで第1、第2の前部アーム3
3、34は時計方向へそれぞれ旋回し、ウエハ保持体2
3はそのまま直進し、同図の(c)に示すように肩リン
ク25上を直進して通過し、最終的には同図に(d)に
示す半導体ウエハWの受け渡し位置まで直進し、半導体
ウエハWの受け渡しを行う。
【0035】以上説明したように第4の実施形態によれ
ば、平行リンク式アーム22の駆動アーム27と肘リン
ク32と第1の前部アーム33とを互いに姿勢保持リン
ク24によって連結したため、高温で腐食し易い環境下
であってもウエハ保持体23の動作は安定し、しかもウ
エハ保持体23は常に肩リンク25上を直進し、常に一
定の姿勢を保持して目的の位置まで半導体ウエハWを正
確且つ確実に搬送することができる。
【0036】また、本発明のフロッグレッグ式アームを
有する搬送装置は図12〜図15に示すように構成する
こともでき、これらの搬送装置においても第1、第2の
実施形態と同様の作用効果を期することができる。
【0037】図12に示す搬送装置は、同軸構造の駆動
軸31と、フロッグレッグ式アーム32と、ウエハ保持
体33と、ウエハ保持体33とフロッグレッグ式アーム
32を連結する姿勢保持リンク34を備えている。フロ
ッグレッグ式アーム32は、第1、第2の駆動アーム3
2A、32B及び第1、第2の前部アーム32C、32
Dを有し、関節35を介してウエハ保持体34と連結さ
れている。
【0038】上記姿勢保持リンク34は、図12に示す
ように、一端部が第1の前部アーム32Cに軸34Aを
介して連結された第1リンク34Bと、第1リンク34
Bの他端部に軸34Cを介して一端部が連結され且つウ
エハ保持体33の関節35の長手方向延長線上に他端部
が軸34Dを介して連結された第2リンク34Eと、第
2リンク34Eの途中に配置された軸34Fに一端が連
結された第3リンク34Gと、第3リンク34Gの他端
部に軸34Hを介して一端部が連結された、第2リンク
34Eと平行する第4リンク34Iと、第4リンク34
Iの他端部に軸34Jを介して一端部が連結され且つウ
エハ保持体33に軸34Dを介して他端部が連結され
た、第3リンク34Gと平行する第5リンク34Kとを
有している。また、第4リンク34Iは第2の前部アー
ム32Dと軸34Lを介して連結されている。そして、
各リンクはいずれも各軸において回転可能になってい
る。
【0039】第1リンク34Bの長さと軸34Dと関節
35間の長さは等しくなるように設定され、第2リンク
34Eの長さと軸34Aと関節35間の長さとは等しく
なるように設定され、軸34A、軸34C、軸34D、
関節35で第1の逆平行リンク機構が構成されている。
また、軸34Dと関節35間の長さと軸34Lと第2リ
ンク34Eの仮想点36間の長さ(これら両者を結ぶ破
線は第5リンク34Kと平行になっている)は等しくな
るように設定され、軸34Dと仮想点36間の長さと軸
34Lと関節35間の長さとは等しくなるように設定さ
れ、軸34D、仮想点36、軸34L、関節35で第2
の逆平行リンク機構が構成されている。更に、第1リン
ク34Bの長さに対する第2リンク34Eの長さの比
と、軸34Dと仮想点36間の長さに対する軸34Dと
関節35間の長さの比は等しくなるように設定されてい
る。そして、第2リンク34Eと軸34Dと関節35の
結線とで作る角は第1、第2の逆平行リンク機構で共有
し、フロッグレッグ式アーム32が如何なる伸縮状態に
あっても第1、第2の逆平行リンク機構は互いに相似関
係にあり、第1の逆平行リンク機構の関節35における
頂角と第2の逆平行リンク機構の関節35における頂角
とが常に等しい。従って、ウエハ保持体33の軸34D
と関節35の結線は常に第1、第2前部アーム32C、
32Dで挟む角を二等分し、ウエハ保持体33は常に左
右に振れることなく一定の姿勢を保持する。
【0040】図13に示す搬送装置は、同軸構造の駆動
軸41と、フロッグレッグ式アーム42と、ウエハ保持
体43と、ウエハ保持体43とフロッグレッグ式アーム
42を連結する姿勢保持リンク44を備えている。フロ
ッグレッグ式アーム42は、第1、第2の駆動アーム4
2A、42B及び第1、第2の前部アーム42C、42
Dを有し、関節45を介してウエハ保持体43と連結さ
れている。
【0041】上記姿勢保持リンク44は、図13に示す
ように、一端部が第1の前部アーム42Cに軸44Aを
介して連結された第1リンク44Bと、第1リンク44
Bの他端部に軸44Cを介して一端部が連結された第2
リンク44Dと、第2リンク44Dの他端部に軸44E
を介して一端部が連結され且つ他端部が関節45に連結
された第3リンク44F(ウエハ保持体の基端部の軸芯
上で関節45より基端部に基端部の一部としてあるいは
その延長部に一体化している)と、第1リンク44Bの
他端部に軸44Cを介して一端部が連結された第4リン
ク44Gと、第4リンク44Gの他端部に軸44Hを介
して一端部が連結され且つ第2の前部アーム42Dに配
置された軸44Iに他端部が連結された第5リンク44
Jと、第4、第5リンク44G、44Jの軸44Hに一
端部が連結され且つウエハ保持体43の関節45の長手
方向延長線上に配置された軸44Kに他端部が連結され
た第6リンク44Lとを有している。そして、各リンク
はいずれも各軸において回転可能になっている。
【0042】第1リンク44Bの長さと第3リンク44
Fの長さは等しくなるように設定され、第2リンク44
Dの長さと第1の前部アーム42Cの軸44Aと関節4
5間の長さとは等しくなるように設定され、軸44A、
軸44C、軸44E、関節45で第1の逆平行リンク機
構が構成されている。また、第2リンク44Dの長さと
第5リンク44Lの長さは等しくなるように設定され、
第4リンク44Gの長さとウエハ保持体43の基端部の
軸44Eと軸44K間の長さは等しくなるように設定さ
れ、軸44C、軸44E、軸44K、軸44Hで第2の
逆平行リンク機構が構成されている。更に、第1リンク
44Bの長さに対する第2リンク44Dの長さの比と、
第2リンク44Dの長さに対する第4リンク44Gの長
さの比は等しくなるように設定されている。そして、第
2リンク44Dと、軸44Eと関節45の結線で作る角
は第1、第2の逆平行リンク機構で共有し、フロッグレ
ッグ式アーム42が如何なる伸縮状態にあっても第1、
第2の逆平行リンク機構は互いに相似関係にある。ま
た、軸44I、軸44H、軸44K、関節45で形成す
る四角形は平行四辺形になるように設定されている。こ
のことから、第1の逆平行リンク機構の関節35におけ
る頂角と第2の逆平行リンク機構の軸44Kにおける頂
角とが常に等しく、しかもこの軸44Kにおける頂角と
第2の前部アーム42Dと軸44Eと関節45の結線で
作る角とが等しくなり、第2の前部アーム42Dと軸4
4Eと関節45の結線で作る角と第1の逆平行リンク機
構の関節45における頂角とが等しくなる。従って、軸
44Eと軸44Kの結線は第1の前部アーム42Cと第
2の前部アーム42Dのなす角を常に二等分し、ウエハ
保持体33は常に左右に振れることなく一定の姿勢を保
持する。
【0043】図14に示す搬送装置は、同軸構造の駆動
軸51と、フロッグレッグ式アーム52と、ウエハ保持
体53と、ウエハ保持体53とフロッグレッグ式アーム
52を連結する姿勢保持リンク54を備えている。フロ
ッグレッグ式アーム52は、第1、第2の駆動アーム5
2A、52B及び第1、第2の前部アーム52C、52
Dを有し、ウエハ保持体53基端部の長手方向と直交す
る方向に並設された関節55、56を介してウエハ保持
体53と連結されている。
【0044】上記姿勢保持リンク54は、図14に示す
ように、一端部が第1の前部アーム52Cに固定軸54
Aを介して連結された第1固定リンク54Bと、第1固
定リンク54Bの他端部に軸54Cを介して一端部が連
結された第1リンク54Dと、第1リンク54Dの他端
部に軸54Eを介して一端部が連結され且つ関節55、
56と二等辺三角形を形成するウエハ保持体53の基端
部に配置された軸54Fを介して他端部が連結された第
2リンク54Gと、第2リンク54Gに配置された固定
軸54Hに連結された第2固定リンク54Iと、第2固
定リンク54Iの他端部に軸54Jを介して一端部が連
結された第3リンク54Kと、第3リンク54Kの他端
部に軸54Lを介して一端部が連結され且つ第2の前部
アーム52Dの固定軸54Mに他端部が連結された第3
固定リンク54Nとを有している。
【0045】第1リンク54Dの長さとウエハ保持体に
おける軸54Fと関節55間の長さは等しくなるように
設定され、第2リンク54Gの長さと軸54Cと関節5
5の破線で示した結線の長さは等しくなるように設定さ
れ、軸54C、軸54E、軸54F、関節55で第1の
逆平行リンク機構が構成されている。また、第3リンク
54Kの長さと軸54Fと関節56間の破線で示した結
線の長さは等しくなるように設定され、軸54Fと軸5
4J間の破線で示した結線の長さと軸54Lと関節56
間の破線で示した結線の長さは等しくなるように設定さ
れ、軸54F、軸54J、軸54L、関節56で第2の
逆平行リンク機構が構成されている。更に、第1リンク
54Dの長さに対する第2リンク54Gの長さの比と、
軸54Fと軸54J間の長さに対する第3リンク54K
の長さの比は等しくなるように設定されている。この場
合、第1、第2の逆平行リンク機構で共有する角はない
が、第1の逆平行リンク機構の軸54Fにおける頂角と
第2の逆平行リンク機構の軸54Fにおける頂角とが常
に等しくなるように第2固定リンク54Iの長さと関節
55、56間の長さが設定されているため、フロッグレ
ッグ式アーム52が如何なる伸縮状態にあっても第1、
第2の逆平行リンク機構は互いに相似関係にある。この
ことから、第1の逆平行リンク機構の関節55における
頂角と第2の逆平行リンク機構の関節56における頂角
とが常に等しくなる。従って、この場合にもフロッグレ
ッグ式アーム54の動作時にウエハ保持体53は常に左
右に振れることなく一定の姿勢を保持する。
【0046】図15に示す搬送装置は、同軸構造の駆動
軸61と、フロッグレッグ式アーム62と、ウエハ保持
体63と、ウエハ保持体63とフロッグレッグ式アーム
62を連結する姿勢保持リンク64を備えている。フロ
ッグレッグ式アーム62は、第1、第2の駆動アーム6
2A、62B及び第1、第2の前部アーム62C、62
Dを有し、ウエハ保持体63基端部の長手方向と直交す
る方向に並設された関節65、66を介してウエハ保持
体63と連結されている。
【0047】上記姿勢保持リンク64は、図15に示す
ように、一端部が関節65に連結された第1リンク64
A(第1の前部アーム62Cの延長部)と、第1リンク
64Aの他端部に軸64Bを介して一端部が連結された
第2リンク64Cと、第2リンク64Cの他端部に軸6
4Dを介して連結され且つウエハ保持体63の軸64E
に一端部が連結された第3リンク64Fと、第3リンク
64Fの他端部に軸64Gを介して一端部が連結され且
つ他端部が軸64Hを介して第2の前部アーム62Dに
連結された第4リンク64Iとを有している。軸64E
は関節65、66の延長線上に配置されている。そし
て、各リンクはいずれも各軸において回転可能になって
いる。
【0048】第1リンク64Aの長さと第3リンク64
Fの軸64Dと軸64E間の長さは等しくなるように設
定され、第2リンク64Cの長さと関節65と軸64E
間の長さとは等しくなるように設定され、関節65、軸
64B、軸64D、軸64Eで第1の逆平行リンク機構
が構成されている。また、関節66と軸64E間の長さ
と第4リンク64Iの長さは等しくなるように設定さ
れ、第3リンク64Fの長さと第2の前部アーム62D
の関節66と軸64H間の長さは等しくなるように設定
され、関節66、軸64E、軸64G、軸64Hで第2
の逆平行リンク機構が構成されている。更に、第1リン
ク64Aの長さに対する第2リンク64Cの長さの比
と、第4リンク64Iの長さに対する第3リンク64F
の長さの比は等しくなるように設定されている。そし
て、関節66と軸64E間の結線と第3リンク64Fで
作る角は第1、第2の逆平行リンク機構で共有し、フロ
ッグレッグ式アーム42が如何なる伸縮状態にあっても
第1、第2の逆平行リンク機構は互いに相似関係にあ
る。このことから、第1の逆平行リンク機構の関節65
における頂角と第2の逆平行リンク機構の関節66にお
ける頂角とが常に等しくなる。従って、この場合にもウ
エハ保持体33は常に左右に振れることなく一定の姿勢
を保持する。
【0049】また、本発明の平行リンク式アームを有す
る搬送装置は図16、図17に示すように構成すること
もでき、これらの搬送装置においても第4の実施形態と
同様の作用効果を期することができる。
【0050】図16に示す搬送装置は、支持体(図示せ
ず)の駆動軸71に基端部が支持された平行リンク式ア
ーム73と、この平行リンク式アーム73の先端部に連
結された、半導体ウエハWを保持するウエハ保持体74
と、平行リンク式アーム73の姿勢を規制し常にウエハ
保持体74を一定の姿勢に保持する姿勢保持リンク75
とを備えている。この搬送装置ではウエハ保持体74と
姿勢保持リンク75の取付位置を異にする以外は図5に
示す搬送装置と同様に構成されている。ウエハ保持体7
4は2枚の半導体ウエハを保持するタイプで、平行リン
ク式アーム73での支持形態は図5に示す場合と変わら
ない。
【0051】上記平行リンク式アーム73は、図16に
示すように、肩リンク76、駆動アーム77、従動アー
ム78、肘リンク79、第1の前部アーム80及び第2
の前部アーム81とを備えている。82〜85はいずれ
も関節である。
【0052】図16に示す姿勢保持リンク75は、駆動
アーム77の延長端部に軸75Aを介して一端部が連結
された第1リンク75Bと、第1リンク75Bの他端部
に軸75Cを介して連結された第2リンク75Dと、第
2リンク75Dの一端部に軸75Eを介して一端部が連
結され且つ他端部が軸75Fを介して第1の前部アーム
80に連結された第3リンク75Gとを有している。ま
た、第2リンク75Cの他端部には肘リンク79の延長
端部に軸75Hを介して連結されている。
【0053】上記姿勢保持リンク75のうち、第1リン
ク75Bの長さと肘リンク79の関節83と軸75H間
の長さは等しくなるように設定され、駆動アーム77の
関節83と軸75A間の長さと第2リンク75Dの軸7
5Cと軸75H間の長さは等しくなるように設定され、
軸75A、軸75C、軸75H、関節83で第1の逆平
行リンク機構が構成されている。また、第3リンク75
Gの長さと肘リンク79の関節83と軸75H間の長さ
は等しくなるように設定され、第2リンク75Dの長さ
と第1の前部アーム80の関節83と軸75F間の長さ
は等しくなるように設定され、軸75H、軸75E、軸
75F、関節83で第2の逆平行リンク機構が構成され
ている。更に、第1リンク75Bの長さに対する駆動ア
ーム77の関節83と軸75A間の長さの比と、第2リ
ンク75Dの長さに対する第3リンク75Gの長さの比
は等しくなるように設定されている。そして、第1、第
2の逆平行リンク機構は、軸75Hを挟む角度を共有し
ているため、対応する角度が全て等しくなり、両者は相
似形になっている。従って、駆動アーム77が駆動軸7
1の第2の駆動軸を介して反時計方向へ旋回すると、ウ
エハ保持体74は姿勢保持リンク75を介して肩リンク
76上を直進し、その延長線上に配置された目的の位置
へ半導体ウエハWを正確に搬送することができる。
【0054】また、図17に示す搬送装置と図16に示
す搬送装置において同一部分または相当する部分には2
0番大きい数字を附してその説明を省略し、主として姿
勢保持リンク95についてのみ説明する。この平行リン
ク式アーム93の場合には、第1、第2の前部アーム1
00、101は駆動アーム97及び従動アーム98とは
直接連結されず、肘リンク99において右方へ偏倚した
位置で肘リンク99に対して関節106、107を介し
て連結されている。
【0055】図17に示す姿勢保持リンク95は、駆動
アーム97の延長端部に軸95Aを介して一端部が連結
された第1リンク95Bと、第1リンク95Bの他端部
に軸95Cを介して一端部が連結された第2リンク95
Dと、第1リンク95Bの他端部に軸95Cを介して一
端部が連結された第3リンク95Eとを有している。ま
た、第2リンク95Dの他端部は第2の前部アーム10
1に軸95Fを介して連結され、第3リンク95Eの他
端部は肘リンク99に関節102を介して連結されてい
る。
【0056】そして、第1リンク95Bの長さと肘リン
ク99の関節102と関節103間の長さは等しくなる
ように設定され、第3リンク95Eの長さと駆動アーム
97の関節103と軸95A間の長さは等しくなるよう
に設定され、軸95A、軸95C、関節102、関節1
03で第1の逆平行リンク機構が構成されている。ま
た、第2リンク95Dの長さと肘リンク99の関節10
2と関節106間の長さは等しくなるように設定され、
第3リンク95Eの長さと第2の前部アーム101の関
節106と軸95F間の長さは等しくなるように設定さ
れ、軸95C、軸95F、関節106、関節102で第
2の逆平行リンク機構が構成されている。更に、第1リ
ンク95Bの長さに対する第3リンク95Eの長さの比
と、第3リンク95Eの長さに対する第2リンク95D
の長さの比は等しくなるように設定されている。そし
て、第1、第2の逆平行リンク機構は、関節102を挟
む角度を共有しているため、対応する角度が全て等しく
なり、両者は相似形になっている。従って、駆動アーム
97が駆動軸91の第2の駆動軸を介して反時計方向へ
旋回すると、ウエハ保持体94は姿勢保持リンク95を
介して肩リンク96上を直進し、その延長線上に配置さ
れた目的の位置へ半導体ウエハWを正確に搬送すること
ができる。
【0057】尚、本発明のフロッグレッグ式アームまた
は平行リンク式アームを有する搬送装置は上記各実施形
態に何等制限されるものではなく、2つの逆平行リンク
機構を姿勢保持リンクとして有するものであれば、全て
本発明に包含される。また、2つの逆平行リンク機構を
明確に有していなくても、図12や図14に示した搬送
装置のように他の平行リンク機構や屈曲した固定リンク
により2点間の距離が一定に保持され、そこに仮想線を
引くことによって2つの逆平行リンク機構が現れ、それ
を姿勢保持リンクとして有するものであれば、全て本発
明に包含される。
【0058】
【発明の効果】本発明の請求項1または請求項5に記載
の発明によれば、ベルトや歯車等の伝達機構を使うこと
なく、耐熱性、耐腐食性に優れ常に安定した姿勢で半導
体ウエハ等の被搬送体を正確且つ確実に搬送することが
できるフロッグレッグ式アームまたは平行リンク式アー
ムを有する搬送装置を提供することができる。
【0059】また、本発明の請求項2及び請求項4に記
載の発明によれば、請求項1に記載の発明において、支
持体を中心としてその前後方向へ自由に被搬送体を搬送
することができるフロッグレッグ式のアームを有する搬
送装置を提供することができる。
【0060】また、本発明の請求項3に記載の発明によ
れば、請求項1の発明と同様の作用効果を有すると共に
狭い開口部であっても被搬送体を搬入出できるフロッグ
レッグ式のアームを有する搬送装置を提供することがで
きる。
【図面の簡単な説明】
【図1】本発明のフロッグレッグ式アームを有する搬送
装置の一実施形態を示す斜視図である。
【図2】図1に示す搬送装置のリンク機構の説明図であ
る。
【図3】本発明のフロッグレッグ式アームを有する搬送
装置の他の実施形態を示す斜視図である。
【図4】図3に示す搬送装置の動作説明図である。
【図5】本発明のフロッグレッグ式アームを有する搬送
装置の更に他の実施形態の要部を示す斜視図である。
【図6】図5に示す搬送装置の動作説明図である。
【図7】本発明の平行リンク式アームを有する搬送装置
の一実施形態を示す斜視図である。
【図8】(a)は図7に示す搬送装置の平面図、(b)
はその側面図である。
【図9】図7に示す搬送装置のウエハ保持体が後退した
状態を示す平面図である。
【図10】図9に示す搬送装置のリンク機構の説明図で
ある。
【図11】図9に示す搬送装置の動作説明図である。
【図12】本発明のフロッグレッグ式アームを有する搬
送装置の更に他の実施形態を示す図2に相当する図であ
る。
【図13】本発明のフロッグレッグ式アームを有する搬
送装置の更に他の実施形態を示す図2に相当する図であ
る。
【図14】本発明のフロッグレッグ式アームを有する搬
送装置の更に他の実施形態を示す図2に相当する図であ
る。
【図15】本発明のフロッグレッグ式アームを有する搬
送装置の更に他の実施形態を示す図2に相当する図であ
る。
【図16】本発明の平行リンク式アームを有する搬送装
置の更に他の実施形態を示す図2に相当する図である。
【図17】本発明の平行リンク式アームを有する搬送装
置の更に他の実施形態を示す図2に相当する図である。
【符号の説明】
1、26 駆動軸(第1、第2の軸) 2、21 支持体 3 フロッグレッグ式アーム 4、23 ウエハ保持体(保持体) 5、24 姿勢保持リンク 6A 第1の駆動アーム 6B 第2の駆動アーム 7、28 第1の関節 8A、33 第1の前部アーム 8A、34 第2の前部アーム 9、30 第2の関節 10、31 第3、第4の関節 11 思案点回避リンク 22 平行リンク式アーム 25 肩リンク 27 駆動アーム 29 従動アーム 32 肘リンク
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 3F060 EA01 EB12 EC12 GB13 5F031 CA02 FA01 GA03 GA43 GA47 GA48 MA28 MA29 MA30 NA05 NA13 PA26

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】 支持体と、この支持体で支持された第
    1、第2の軸と、第1、第2の軸に基端部で連結された
    フロッグレッグ式アームと、このフロッグレッグ式アー
    ムの先端部に連結された、被搬送体を保持する保持体と
    を備えた搬送装置において、 上記フロッグレッグ式アームは、 第1の軸に基端部が軸支された回転可能な第1の駆動ア
    ームと、 第2の軸に基端部が軸支された回転可能な第2の駆動ア
    ームと、 第1の駆動アームの先端部に第1の関節を介して基端部
    が軸支された回転可能な第1の前部アームと、 第2の駆動アームの先端部に第2の関節を介して基端部
    が軸支された回転可能な第2の前部アームとを備え、 上記保持体は、第1、第2の前部アームそれぞれの先端
    部に第3、第4の関節を介して軸支されてなり、且つ、 第1、第2の前部アームと上記保持体とを互いに連結す
    る2つの相似な逆平行リンク機構からなる姿勢保持リン
    クを設け、この姿勢保持リンクを介して第1、第2の前
    部アームに対する上記保持体の回転を規制することを特
    徴とする搬送装置。
  2. 【請求項2】 第1の軸と第2の軸は軸芯を共有すると
    共に第3の関節と第4の関節は軸芯を共有し、 第1、第2の駆動アーム及び第1、第2の前部アームの
    長さは全て等しく、 第1の駆動アームと対向するリンクを設け、このリンク
    の両端部を第1の前部アーム及び第2の駆動アームにそ
    れぞれ連結して平行リンク機構を構成し、 この平行リンク機構は、同軸構造の第3、第4の関節が
    同軸構造の第1、第2の軸と重なる位置とは別の位置で
    思案点を作るようにしたことを特徴とする請求項1に記
    載のフロッグレッグタイプの搬送装置。
  3. 【請求項3】 支持体と、この支持体で支持された軸芯
    を共有する第1、第2の軸と、第1、第2の軸に基端部
    で連結されたフロッグレッグ式アームと、このフロッグ
    レッグ式アームの先端部に連結された、被搬送体を保持
    する保持体とを備えた搬送装置において、 上記フロッグレッグ式アームは、 第1の軸に基端部が軸支された回転可能な第1の駆動ア
    ームと、 第2の軸に基端部が軸支された回転可能な第2の駆動ア
    ームと、 第1の駆動アームの先端部に第1の関節を介して基端部
    が軸支された回転可能な前部アームと、 第1の駆動アームと平行に対向し両端部が上記前部アー
    ム及び第2の駆動アームにそれぞれ連結された2本のリ
    ンクとを備え、 第1、第2の駆動アーム、前部アーム及び2本のリンク
    それぞれの長さを等しく設定すると共にこれら3本のア
    ーム及び2本のリンクで2つの平行リンク機構を構成
    し、 上記2つの平行リンク機構は互いに別の位置で思案点を
    作ると共に第1、第2の駆動アーム及び上記前部アーム
    は菱形の3辺を構成し、 上記保持体は、上記前部アームの先端部に第2の関節を
    介して軸支されてなり、且つ、 上記前部アームと第2の駆動アーム先端部と上記保持体
    とを互いに連結する2つの相似な逆平行リンク機構から
    なる姿勢保持リンクを設け、この姿勢保持リンクを介し
    て上記前部アームに対する上記保持体の回転を規制する
    ことを特徴とする搬送装置。
  4. 【請求項4】 上記保持体は両端部に被搬送体の保持部
    を有し、上記保持部の中間に関節を配置することを特徴
    とする請求項2または請求項3に記載のフロッグレッグ
    タイプの搬送装置。
  5. 【請求項5】 支持体と、この支持体で基端部側が支持
    された平行リンク式アームと、この平行リンク式アーム
    の先端部側に連結された、被搬送体を保持する保持体と
    を備えた搬送装置において、 上記平行リンク式アームは、 上記支持体に固定された第1のリンクと、 第1のリンクの一端部を貫通する駆動軸に基端部が軸支
    された回転可能な駆動アームと、 第1のリンクの他端部に第1の関節を介して基端部が軸
    支された回転可能な従動アームと、 上記駆動アームと上記従動アームそれぞれの先端部に互
    いに第2、第3の関節を介して軸支された回転可能な第
    2のリンクと、 第2のリンクに基端部がそれぞれ軸支された回転可能な
    第1、第2の前部アームとを備え、 上記保持体は、第1、第2の前部アームそれぞれの先端
    部に第4、第5の関節を介して軸支されてなり、且つ、 上記駆動アームまたは上記従動アームと、第2のリンク
    と、第1の前部アームまたは第2の前部アームとを連結
    する2つの相似な逆平行リンク機構からなる姿勢保持リ
    ンクを設け、この姿勢保持リンクを介して上記保持体を
    直進させることを特徴とする搬送装置。
JP10343585A 1998-11-17 1998-11-17 搬送装置 Pending JP2000150617A (ja)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP10343585A JP2000150617A (ja) 1998-11-17 1998-11-17 搬送装置
DE69940220T DE69940220D1 (de) 1998-11-17 1999-11-15 Tragvorrichtung
PCT/JP1999/006358 WO2000029176A1 (fr) 1998-11-17 1999-11-15 Systeme transporteur
EP99972143A EP1207025B1 (en) 1998-11-17 1999-11-15 Carrying device
KR1020017006186A KR100617504B1 (ko) 1998-11-17 1999-11-15 반송장치
US09/856,097 US6450757B1 (en) 1998-11-17 1999-11-15 Conveyor system
TW088119972A TW444243B (en) 1998-11-17 1999-11-16 Conveying device
US10/218,997 US6699003B2 (en) 1998-11-17 2002-08-15 Carrying device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10343585A JP2000150617A (ja) 1998-11-17 1998-11-17 搬送装置

Publications (1)

Publication Number Publication Date
JP2000150617A true JP2000150617A (ja) 2000-05-30

Family

ID=18362674

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10343585A Pending JP2000150617A (ja) 1998-11-17 1998-11-17 搬送装置

Country Status (7)

Country Link
US (2) US6450757B1 (ja)
EP (1) EP1207025B1 (ja)
JP (1) JP2000150617A (ja)
KR (1) KR100617504B1 (ja)
DE (1) DE69940220D1 (ja)
TW (1) TW444243B (ja)
WO (1) WO2000029176A1 (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002200583A (ja) * 2000-06-15 2002-07-16 Jel:Kk 搬送アーム
JP2002200584A (ja) * 2000-10-24 2002-07-16 Ulvac Japan Ltd 搬送装置
JP2002307341A (ja) * 2001-02-06 2002-10-23 Ulvac Japan Ltd 搬送装置
JP2002362738A (ja) * 2001-06-04 2002-12-18 Jel:Kk 搬送アーム
JP2002361577A (ja) * 2001-04-05 2002-12-18 Teijin Seiki Co Ltd ロボットアームおよびロボット
CN1301833C (zh) * 2003-02-07 2007-02-28 三星电子株式会社 传输装置
JP2008284689A (ja) * 2008-08-22 2008-11-27 Nabtesco Corp アーム装置
US7591625B2 (en) 2005-09-16 2009-09-22 Ulvac, Inc. Carrying mechanism, a carrying device and a vacuum processing apparatus
JP2010142952A (ja) * 2001-04-05 2010-07-01 Nabtesco Corp ロボットアーム
WO2011155320A1 (ja) * 2010-06-10 2011-12-15 ナブテスコ株式会社 ロボットアーム
WO2012020562A1 (ja) * 2010-08-09 2012-02-16 株式会社アルバック 搬送装置
WO2013088548A1 (ja) * 2011-12-15 2013-06-20 タツモ株式会社 ウエハ搬送ロボット
KR20130140101A (ko) 2011-01-26 2013-12-23 나부테스코 가부시키가이샤 웨이퍼 반송 로봇
KR102678850B1 (ko) * 2022-07-18 2024-06-27 배준호 수평 다관절 로봇

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6840732B2 (en) * 2000-10-24 2005-01-11 Ulvac, Inc. Transport apparatus and vacuum processing system using the same
KR100428781B1 (ko) * 2001-04-16 2004-04-27 삼성전자주식회사 웨이퍼 이송 장치 및 그 이송 방법
JP4615760B2 (ja) * 2001-04-26 2011-01-19 株式会社ダイヘン アーム動作機構およびこれを備えた産業用ロボット
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
JP3853645B2 (ja) * 2001-12-03 2006-12-06 ナブテスコ株式会社 ロボットアーム
TW200505606A (en) * 2003-05-20 2005-02-16 Ishikawajima Harima Heavy Ind Panel transporting device
JP4411025B2 (ja) * 2003-07-11 2010-02-10 株式会社ダイヘン 2アーム式搬送ロボット
CN1938207A (zh) * 2004-05-10 2007-03-28 石川岛播磨重工业株式会社 面板输送装置
EP1792694B1 (en) * 2004-07-20 2010-06-02 Kawasaki Jukogyo Kabushiki Kaisha Arm structure for robot
US7785060B2 (en) * 2006-10-27 2010-08-31 Applied Materials, Inc. Multi-directional mechanical scanning in an ion implanter
JP4770856B2 (ja) * 2008-03-21 2011-09-14 トヨタ自動車株式会社 移送用ロボット
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
DE102009025262A1 (de) * 2009-06-17 2010-12-30 Thomas Beetz Bewegungsvorrichtung, Anordnung und Herstellungsanlage
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
WO2013154863A1 (en) * 2012-04-12 2013-10-17 Applied Materials, Inc Robot systems, apparatus, and methods having independently rotatable waists
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015099858A2 (en) * 2013-09-30 2015-07-02 Board Of Regents, The University Of Texas System Upper-body robotic exoskeleton
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9370863B2 (en) * 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR20150142361A (ko) * 2014-06-11 2015-12-22 삼성전자주식회사 링크 구조체
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
DE102016002925A1 (de) * 2016-03-07 2017-09-07 Alfatec Gmbh Fördersysteme Teleskop; Verfahren zur Teleskopierung mindestens einer Last mittels eines Teleskopes
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
USD793352S1 (en) * 2016-07-11 2017-08-01 Asm Ip Holding B.V. Getter plate
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10453725B2 (en) * 2017-09-19 2019-10-22 Applied Materials, Inc. Dual-blade robot including vertically offset horizontally overlapping frog-leg linkages and systems and methods including same
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3255893A (en) * 1963-07-10 1966-06-14 Gen Mills Inc Manipulator boom system
CH637869A5 (de) * 1979-06-19 1983-08-31 Microbo Ag Handhabungseinrichtung, insbesondere fuer industrieroboter.
JPS5810491A (ja) * 1981-07-08 1983-01-21 株式会社東芝 ロボツト装置
JP2531261B2 (ja) * 1988-07-08 1996-09-04 富士電機株式会社 搬送装置
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
JPH05124418A (ja) * 1991-11-05 1993-05-21 Mazda Motor Corp 車両用空調装置
JP2972008B2 (ja) * 1991-11-07 1999-11-08 東京エレクトロン株式会社 搬送アーム
JP3113729B2 (ja) 1992-04-13 2000-12-04 日本真空技術株式会社 同軸駆動部を有する平行リンクロボット
JP2536454B2 (ja) * 1994-05-20 1996-09-18 日本電気株式会社 搬送装置
JPH0871965A (ja) * 1994-08-31 1996-03-19 Sony Corp 移載装置
JPH08162516A (ja) * 1994-12-09 1996-06-21 Dainippon Screen Mfg Co Ltd 基板搬送装置
SE508890C2 (sv) * 1996-03-14 1998-11-16 Asea Brown Boveri Manipulator
JPH09283588A (ja) 1996-04-08 1997-10-31 Hitachi Ltd 基板搬送装置及び基板の搬送方法
JPH09323276A (ja) 1996-06-03 1997-12-16 Toyota Autom Loom Works Ltd 搬送装置及びロボットアーム
JPH10163295A (ja) 1996-11-26 1998-06-19 Tokyo Electron Ltd ウエハ搬送装置
JPH1138909A (ja) * 1997-07-18 1999-02-12 Toa Resin Kk 看 板

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002200583A (ja) * 2000-06-15 2002-07-16 Jel:Kk 搬送アーム
JP4489999B2 (ja) * 2000-10-24 2010-06-23 株式会社アルバック 搬送装置及び真空処理装置
JP2002200584A (ja) * 2000-10-24 2002-07-16 Ulvac Japan Ltd 搬送装置
JP2002307341A (ja) * 2001-02-06 2002-10-23 Ulvac Japan Ltd 搬送装置
JP4489998B2 (ja) * 2001-02-06 2010-06-23 株式会社アルバック 搬送装置及び真空処理装置
JP2002361577A (ja) * 2001-04-05 2002-12-18 Teijin Seiki Co Ltd ロボットアームおよびロボット
JP4628602B2 (ja) * 2001-04-05 2011-02-09 ナブテスコ株式会社 ロボットアーム
JP2010142952A (ja) * 2001-04-05 2010-07-01 Nabtesco Corp ロボットアーム
JP2002362738A (ja) * 2001-06-04 2002-12-18 Jel:Kk 搬送アーム
JP4757404B2 (ja) * 2001-06-04 2011-08-24 株式会社ジェーイーエル 搬送アーム
CN1301833C (zh) * 2003-02-07 2007-02-28 三星电子株式会社 传输装置
US7591625B2 (en) 2005-09-16 2009-09-22 Ulvac, Inc. Carrying mechanism, a carrying device and a vacuum processing apparatus
KR100995498B1 (ko) 2005-09-16 2010-11-19 가부시키가이샤 소와 엠디 센타 반송 기구, 반송 장치 및 진공 처리 장치
JP4964777B2 (ja) * 2005-09-16 2012-07-04 株式会社アルバック 搬送機構、搬送装置及び真空処理装置
JP2008284689A (ja) * 2008-08-22 2008-11-27 Nabtesco Corp アーム装置
WO2011155320A1 (ja) * 2010-06-10 2011-12-15 ナブテスコ株式会社 ロボットアーム
US8915693B2 (en) 2010-06-10 2014-12-23 Nabtesco Corporation Robot arm having lower arm link separable into two parts
JP2011258793A (ja) * 2010-06-10 2011-12-22 Nabtesco Corp ロボットアーム
CN102934215A (zh) * 2010-06-10 2013-02-13 纳博特斯克有限公司 机械手
CN102934215B (zh) * 2010-06-10 2015-08-26 纳博特斯克有限公司 机械手
WO2012020562A1 (ja) * 2010-08-09 2012-02-16 株式会社アルバック 搬送装置
JP5550197B2 (ja) * 2010-08-09 2014-07-16 株式会社アルバック 搬送装置
US9287149B2 (en) 2011-01-26 2016-03-15 Nabtesco Corporation Wafer Handling Robot
KR20130140101A (ko) 2011-01-26 2013-12-23 나부테스코 가부시키가이샤 웨이퍼 반송 로봇
KR101887717B1 (ko) 2011-01-26 2018-08-10 나부테스코 가부시키가이샤 웨이퍼 반송 로봇
JPWO2013088548A1 (ja) * 2011-12-15 2015-04-27 タツモ株式会社 ウエハ搬送ロボット
WO2013088548A1 (ja) * 2011-12-15 2013-06-20 タツモ株式会社 ウエハ搬送ロボット
US9508581B2 (en) 2011-12-15 2016-11-29 Tazmo Co., Ltd. Wafer transport robot
KR102678850B1 (ko) * 2022-07-18 2024-06-27 배준호 수평 다관절 로봇

Also Published As

Publication number Publication date
EP1207025A1 (en) 2002-05-22
KR100617504B1 (ko) 2006-09-01
DE69940220D1 (de) 2009-02-12
US6450757B1 (en) 2002-09-17
US20030012632A1 (en) 2003-01-16
WO2000029176A1 (fr) 2000-05-25
EP1207025A4 (en) 2004-05-26
EP1207025B1 (en) 2008-12-31
US6699003B2 (en) 2004-03-02
KR20010089466A (ko) 2001-10-06
TW444243B (en) 2001-07-01

Similar Documents

Publication Publication Date Title
JP2000150617A (ja) 搬送装置
JP5199117B2 (ja) ワーク搬送システム
JP2008055599A (ja) 2つの基板ホルダを備えた基板移送装置
KR970004947B1 (ko) 핸들링장치
JP3030667B2 (ja) 搬送装置
EP1232838A1 (en) Frog-leg type transfer system
JP2002166376A (ja) 基板搬送用ロボット
KR100625585B1 (ko) 로보트 암기구
JP2919054B2 (ja) 移載装置および移載方法
JP6374295B2 (ja) 産業用ロボット
KR19990072165A (ko) 관절아암을 구비한 물체이송장치
US6575691B1 (en) Transfer arm
WO2007032530A1 (ja) 搬送機構、搬送装置及び真空処理装置
JP4000036B2 (ja) 搬送装置
JP3113729B2 (ja) 同軸駆動部を有する平行リンクロボット
JP2016207938A (ja) 産業用ロボットおよび製造システム
JP6487266B2 (ja) 製造システム
JP2000195923A (ja) 搬送用ロボット、搬送装置、真空チャンバ内搬送装置およびプロセス処理装置
JPH10163295A (ja) ウエハ搬送装置
JP3539537B2 (ja) 多関節ロボット
JP4995295B2 (ja) ロボットアーム
JP2002299413A (ja) ロボット装置及び処理装置
JP2000150615A (ja) 搬送装置
JP2003197712A (ja) 基板搬送装置、及び基板収納カセット搬送装置
JPH07314376A (ja) 搬送装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051104

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060207

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060407

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060606

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20061017