WO2000029176A1 - Systeme transporteur - Google Patents

Systeme transporteur Download PDF

Info

Publication number
WO2000029176A1
WO2000029176A1 PCT/JP1999/006358 JP9906358W WO0029176A1 WO 2000029176 A1 WO2000029176 A1 WO 2000029176A1 JP 9906358 W JP9906358 W JP 9906358W WO 0029176 A1 WO0029176 A1 WO 0029176A1
Authority
WO
WIPO (PCT)
Prior art keywords
link
arm
drive
shaft
joint
Prior art date
Application number
PCT/JP1999/006358
Other languages
English (en)
French (fr)
Inventor
Hiroaki Saeki
Keisuke Kondoh
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to DE69940220T priority Critical patent/DE69940220D1/de
Priority to EP99972143A priority patent/EP1207025B1/en
Priority to US09/856,097 priority patent/US6450757B1/en
Publication of WO2000029176A1 publication Critical patent/WO2000029176A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • B25J9/1065Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • B25J9/1065Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms
    • B25J9/107Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms of the froglegs type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/13Handlers utilizing parallel links
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/20Control lever and linkage systems
    • Y10T74/20207Multiple controlling elements for single controlled element
    • Y10T74/20305Robotic arm
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/20Control lever and linkage systems
    • Y10T74/20207Multiple controlling elements for single controlled element
    • Y10T74/20305Robotic arm
    • Y10T74/20329Joint between elements

Definitions

  • the present invention relates to a transfer device for transferring a semiconductor wafer as a transfer target to a semiconductor manufacturing device or the like.
  • This type of conventional transfer apparatus is used, for example, as an apparatus for transferring a semiconductor wafer between a wafer storage chamber and a processing chamber in a processing apparatus.
  • a transfer device having a frog leg (wa leg) type arm and a transfer device having a parallel link type arm are known.
  • a frog-legged arm for example, a pair of ⁇ 3 ⁇ 4 arms each having a base end on the drive shaft: 1 pair, and a pair of front arms respectively connected to the distal ends of these drive arms via joints, And a wafer holder respectively connected to the distal end of the front arm.
  • the tips of the pair of front arms are respectively connected to the wafer holders via two upper and lower rotating drums.
  • the upper and lower rotating drums are crossed with two upper and lower steel belts, respectively, so that a pair of front arm forces can be rotated in the same direction;
  • a tooth structure is used instead of the attitude mechanism consisting of a rotating drum and a steel belt.
  • the frog leg arm is bent and stretched while maintaining the left: position.
  • such a posture holding member is used for joints and the like also in the case of a parallel link arm as a power transmission.
  • the processing room of Semiconductor Eno often has a high temperature and highly corrosive environment, and when an arm having a belt such as a steel belt is taken in and out of such an environment, the belt force ⁇ corrosion under high temperature.
  • Steel belts and other belts have limited heat resistance and corrosion resistance, and have had the problem that their service life is short in high-temperature, corrosive environments such as processing rooms.
  • a transfer device using gears In this case, there is no problem such as steel belts, but in the case of gears, particles that cause dust are likely to be generated, and there is a problem in transport accuracy due to backlash etc.o Disclosure of the invention
  • the present invention has been made in order to solve the above-mentioned problems, and has an excellent heat resistance and corrosion resistance without using a transmission mechanism such as a belt or a gear. It is another object of the present invention to provide a transfer device capable of reliably transferring.
  • a transfer device is a support, a first and second shaft supported by the support, and a frog-legged arm connected to the first and second shafts at a base end. And a holding member connected to the distal end of the frog-legging arm and holding the object to be conveyed, wherein the frog-legging arm has a base end pivotally supported by a first shaft.
  • a rotatable first drive arm, a rotatable second drive arm whose base end is pivotally supported on a second shaft, and a distal end of the first drive arm via a first joint.
  • a rotatable first front arm whose base end is pivotally supported, and a rotatable second front whose base end is pivotally supported at the distal end of a second drive arm via a second joint; Arm, and the holder is pivotally supported at the tip of each of the first and second front arms via third and fourth joints.
  • a posture holding link composed of two similar anti-parallel link mechanisms for connecting the first and second front arms and the holding body to each other, and through this posture holding link. The rotation of the holder with respect to the first and second front arms is regulated.
  • the first axis and the second axis share an axis
  • the third joint and the fourth joint share an axis
  • the first and second drive arms and the first and second front arms are all equal in length, and a link facing the first drive arm is provided.
  • the parallel link mechanism is configured by being connected to the arm and the second drive arm, respectively, and the parallel link mechanism is located at a position where the third and fourth joints of the coaxial structure overlap the first and second axes of the coaxial structure. Is characterized by the fact that thought points are made in different positions.
  • the transfer device is a transfer device, comprising: a support; a first and a second shaft sharing an axis supported by the support; And a holding member connected to the tip of the frog-legged type and holding the object to be transferred, wherein the front leg-type arm is connected to the frog leg-type arm.
  • a rotatable first drive arm whose base end is supported by the first shaft, a rotatable second drive arm whose base end is supported by the second shaft,
  • a rotatable front arm having a base end pivotally supported at a leading end of the drive arm via a first joint; and a front arm facing in parallel with the first drive arm and having both ends at the front end.
  • -Two links respectively connected to the arm and the second drive arm, the first and second drive arms, the front arm and the two links
  • These three arms and two links make up two parallel link mechanisms with equal lengths, and the two parallel link mechanisms make thought points at different positions
  • the first and second drive arms and the front arm form three sides of a rhombus
  • the holding body is pivotally supported at the tip of each of the front arms via a second joint.
  • a posture holding link comprising two similar anti-parallel link mechanisms for connecting the front arm, the second driving arm tip end, and the holder to each other, and providing the posture holding link via the posture holding link. It is characterized in that the rotation of the tree is restricted with respect to the arm.
  • the holding body in the second invention or the third invention, has a holding part for a transferred body at both ends, and is provided between the holding parts. It is characterized by arranging joints.
  • the transfer device is configured such that a support, a parallel link arm whose base end is supported by the support, and a distal end side of the parallel link arm
  • the parallel link type arm includes a first link fixed to the support, and a drive shaft penetrating one end of the first link.
  • First and second front arms, and the holding body includes first and second front arms.
  • the front arm of each of the two front arms is pivotally supported via fourth and fifth joints, and the drive arm or the driven arm, the second link, and the first front arm
  • a posture maintaining link composed of two similar anti-parallel link mechanisms connecting the arm or the second front arm, and the holder is made to move straight through the posture maintaining link. It is.
  • a transported body such as a semiconductor wafer can be transported in a stable posture with excellent heat resistance and corrosion resistance without using a transmission mechanism such as a belt and a gear.
  • a transport device having a frog leg type arm or a parallel link type arm capable of transporting accurately and reliably can be provided.
  • the arm of the frog sledder type arm capable of freely transporting the transported object around the support in the front-rear direction. Can be provided.
  • a frog-leg type arm capable of carrying in and out the object to be transported even with a narrow opening, in addition to having the same operation and effect as the first invention.
  • a transport device can be provided.
  • FIG. 1 is a perspective view showing one embodiment of a transport device having a frog leg type arm of the present invention.
  • FIG. 2 is an explanatory diagram of a link mechanism of the transport device shown in FIG.
  • FIG. 3 is a perspective view showing another embodiment of the transfer device having the frog leg type arm of the present invention.
  • FIG. 4 is a diagram illustrating the operation of the transfer device shown in FIG.
  • FIG. 5 is a perspective view showing a main part of still another embodiment of the transfer device having the frog-leg type arm of the present invention.
  • FIG. 6 is an explanatory diagram of the operation of the transfer device shown in FIG.
  • FIG. 7 is a perspective view showing one embodiment of a transfer device having a parallel link type arm of the present invention.
  • FIG. 8 (a) is a plan view of the transfer device shown in FIG. 7, and FIG. 8 (b) is a side view thereof.
  • FIG. 9 is a plan view showing a state in which the wafer holder of the transfer apparatus shown in FIG. 7 is retracted.
  • FIG. 10 is an explanatory diagram of a link mechanism of the transport device shown in FIG.
  • FIG. 11 is an explanatory diagram of the operation of the transport device shown in FIG.
  • FIG. 12 is a view corresponding to FIG. 2 showing still another embodiment of the transfer device having the frog-legged arm of the present invention.
  • FIG. 13 is a view corresponding to FIG. 2 showing still another embodiment of the transport device having the frog-legged type of the present invention.
  • FIG. 14 is a view corresponding to FIG. 2 showing still another embodiment of the transfer device having the frog-legged arm of the present invention.
  • FIG. 15 is a view corresponding to FIG. 2 showing still another embodiment of the transfer device having the frog-redder type arm of the present invention.
  • FIG. 16 is a view corresponding to FIG. 2 showing still another embodiment of the transfer device having the parallel link type arm of the present invention.
  • FIG. 17 is a view corresponding to a view showing still another embodiment of the transfer device having the parallel link type arm of the present invention.
  • a transfer device includes a first drive shaft (not shown) and a drive shaft having a force-cavity shaft structure (hereinafter, for convenience, It is simply referred to as “drive shaft.”
  • a support 2 that supports the drive shaft 1 at the shaft center position, and has a built-in drive source for the drive shaft 1
  • a holding link 5 5.
  • the first drive shaft constituting the drive shaft 1 is formed as a hollow shaft
  • the second drive shaft is formed as a shaft passing through the hollow shaft
  • both the first and second shafts are used as drive sources. They are connected and can be rotated forward and backward.
  • the first and second axes rotate in the opposite direction by the same angle with respect to each other.
  • the arm 3 is designed to extend and contract. By rotating the first and second axes in the same direction by the same angle, the transport direction by the frog-legged arm 3 can be changed. Therefore, when the transfer apparatus of the present embodiment is applied to, for example, a multi-chamber processing apparatus, the wafer can be freely loaded and unloaded to and from a plurality of processing chambers.
  • the hook leg type arm 3 includes a first drive arm 6A rotatable forward and reverse, the base end of which is pivotally supported by a first drive shaft, and a base end formed by a second drive shaft.
  • a second drive arm 6B that is rotatably supported in forward and reverse directions, and a forward and reverse rotatable in which a base end is supported at the distal end of the first drive arm 6A via a first joint 7
  • a forward and reverse rotatable second front arm 8 whose base end is pivotally supported at the distal end of a first front arm 8 A and a second driving arm 6 B via a second joint 9.
  • the first and second front arms 8A and 8B have coaxial structures at their distal ends via third and fourth joints 10 (hereinafter simply referred to as "joints 10" for convenience).
  • the wafer holder 4 is pivotally supported at the center in the width direction at the base end, and is configured to be rotatable forward and backward at the base end of the wafer holder 4.
  • the first and second front arms 8A, 88 are formed slightly longer than the first and second drive arms 6A, 6B.
  • the wafer holder 4 and the pair of first and second front arms 8A and 8B are connected to each other via a posture holding link 5.
  • the posture maintaining link 5 of the present embodiment has a first front arm 8A having a first end which is force-coupled to the longitudinal middle portion of the first front arm 8A via the shaft 5A.
  • One link 5B and the other end of the first link 5B are force-coupled to one end via a shaft 5C, and are connected to the base end of the wafer holder 4 by a shaft 5D (width at the distal end side from the joint 10).
  • a second link 5E the other end of which is connected to the second link 5E via a shaft 5F near the shaft 5D of the second link 5E.
  • a third link 5H the other end of which is connected via a shaft 5G near the distal end of the front arm.
  • the fourth link 5I of the attitude holding link 5 is formed between the joint 10 of the wafer holder 4 and the vehicle 5D.
  • FIG. 2 is an explanatory view of the link mechanism of the frog leg type arm 3 and the attitude maintaining link 5 shown in FIG. 1.
  • the attitude maintaining link 5 will be described with reference to FIG. Posture Of the holding links 5, the length of the first link 5B and the length of the fourth link 5I are not equal.
  • the length of the second link 5E is set to be equal to the length between the joint 10 and the axis 5A, and the axis 5A, the axis 5C, the axis 5D, and the joint 10 Four anti-parallel link mechanisms are provided.
  • the length of the third link 5H and the length of the fourth link 5I are set to be equal, and the length between the axis 5D and the axis 5F and the length between the axis 5G and the joint 10 are equal.
  • the axis 5D, the axis 5F, the axis 5G, and the joint 10 constitute a second anti-parallel link machine.
  • the ratio of the length of the second link 5E to the length of the first link 5B and the ratio of the length of the third link 5H to the length between the axes 5D and 5F are set to be equal.
  • An anti-parallel link mechanism is a link mechanism formed by bending a parallel link mechanism diagonally.
  • the angle sandwiching the axis 5A is equal to the angle sandwiching the axis 5D
  • the angle sandwiching the axis 5C is equal to the angle sandwiching the joint 10.
  • the angle sandwiching the shaft 5D is equal to the angle sandwiching the shaft 5G
  • the angle sandwiching the shaft 5F is equal to the angle sandwiching the joint 10. Since the first and second anti-parallel link mechanisms share an angle sandwiching the axis 5D, the corresponding angles are all equal, and the two have a similar shape.
  • the angle sandwiching the joint 10 of the first anti-parallel link mechanism and the angle sandwiching the joint 10 of the second anti-parallel link mechanism are always equal, and the fourth link formed by the wafer holder 4
  • the extension of 5I to the arm side divides the included angle of the first and second front arms 8A and 8B into two equal parts regardless of the posture of the frog-legged arm. Accordingly, the attitude holding link 5 keeps the wafer holder 4 always symmetrical at the tip of the frog-reader type arm 3 and moves straight without swinging to the right or left with the expansion and contraction of the frog-legged type arm 3. The wafer can be accurately transported to a target position.
  • FIG. 3 is a diagram showing a second embodiment of the present invention.
  • the length of the first and second drive arms 6A, 68 and the lengths of the first and second front arms 8A, 8B are increased in order to extend the telescopic distance of the frog redder arm 3.
  • the transfer device of the first embodiment the frog redder type arm 3 is contracted, and the first and second drive arms 6A and 6B are aligned on the support 2 so that the drive shaft 1 and the first and second
  • the position where the joints 10 of the front arms 8 A and 8 B of the two overlap is a conceivable point. Joint in this position Since 10 can be moved back and forth and in the direction of deviation, it becomes unconstrained, so that the frog leg formula 3 does not function.
  • the idea avoidance link 11 is provided.
  • the transfer device of this embodiment sets the lengths of the first and second drive arms 6A and 6B and the first and second front arms 8A and 8B to the same length.
  • the configuration is the same as that of the first embodiment except that the avoidance link 11 is provided.
  • the concept avoidance link 11 in the present embodiment includes a first drive arm 6A, a link 11A facing the first drive arm 6A in parallel, and a link 1A.
  • 1 A One end of 1 A is connected via a shaft 11 B, a protruding link protruding laterally from the first front arm 8 A and connecting the first joint 7 and the shaft 11 B And the other end of the link 11A is connected via the shaft 11D, and protrudes laterally from the second tail drive arm 6B to connect the drive shaft 1 and the shaft 11D.
  • It consists of 1 1 E and is configured as a parallel link mechanism. That is, the length of the link 11A is equal to the length of the first drive arm 6a, and the length of the protruding link 11C
  • the first and second drive arms 6A and 6B are located on the same straight line with the drive shaft 1 and the joint 10 overlapping vertically, and the first and second front arms 8 Even if A and 8B overlap, the first and second front arms 8A and 8B do not become unrestricted because the thought avoidance link 11 is provided, and the first and second drive arms 6A are provided. 6 Extends smoothly in both front and rear directions according to the driving direction of B, so that the wafer holder 4 can be reliably moved in both front and rear directions, and the expansion and contraction distance of the frog leg type arm 3 is extended. be able to.
  • the wafer holder 4 shown by a solid line in FIG. 3 is for transporting a single wafer, but the first and second front arms 8A and 8B are similar to the transport device shown in FIG.
  • a transport device of a type that avoids the above idea, it is possible to similarly advance in the opposite direction with respect to the support 2.
  • a wafer holder 4 ′ similar to the solid line shown in FIG. 3 on the base end side of the wafer holder 4 and a wafer holder 4 ′ that extends the holder as shown by a broken line and holds two wafers should be used. Can be. Therefore, the operation of a transfer device of the type that can continuously transfer two wafers will be described with reference to FIG. As shown in FIG.
  • the first and second drive arms of the frog-reader type arm 3 on the support 2 are orthogonal to the wafer holder 4 as shown in FIG.
  • the first and second front arms overlap the first and second drive arms. Even if the first and second front arms overlap the first and second drive arms, the first and second drive arms 6A and 6B continue because the link is not located at the first point.
  • the thinking-avoidance link 1 is actuated, whereby the frog-legged arm 3 is extended to the opposite side as shown in FIG. 4D, and the wafer holder 4 ′ is actuated by the attitude holding link 5. Together with this, the vehicle goes straight 180 ° opposite to the direction shown in (a) of the figure.
  • the frog-legged arm 3 is extended to a predetermined position to transfer the semiconductor wafer W as shown in FIG.
  • FIG. 5 is a view showing a third embodiment of the present invention, and the same or corresponding parts as in the second embodiment are denoted by the same reference numerals.
  • a link 8C is provided instead of the second front arm, and the first front arm (hereinafter, “front The middle of the 8A and the middle of the second drive arm 6B are connected.
  • the shaft 5A of the posture maintaining link 5 is connected to the distal end 6C of the second drive arm 6B instead of the second front arm. Have been.
  • the frog sledder arm 3 in the present embodiment is configured as a first parallel link mechanism including the first and second drive arms 6A and 6B, the front arm 8A, and the link 8C. . Since the first and second drive arms 6A, 6B and the front arm 8A are formed as three sides of a rhombus, the tip 6C of the second drive arm 6B The distance between the joint and the joint 10 is always constant. By driving the first and second drive arms 6A and 6B, the frog redder The arm 3 can be extended and retracted.
  • the parallel link mechanism by the link 8C becomes a conceivable point
  • the conceivable point avoiding link 11 works, so that the front arm 8A is not restrained, and the first and second drive arms 6A, 6A Extends smoothly in both the front and rear directions according to the driving direction of B, and can reliably move the wafer holder 4 'in both the front and rear directions, thereby increasing the expansion / contraction distance of the frog-legged arm 3.
  • the axis 5A of the posture holding link 5 is connected to the tip 6C of the second drive arm 6B, the first antiparallel link is made at the axis 5A, the axis 5C.
  • the mechanism is configured.
  • the attitude holding link 5 keeps the wafer holder 4 ′ always in a fixed attitude and moves straight without swinging right and left with the expansion and contraction of the front leg arm type arm 3, and FIG. 6 (a) to (e).
  • the wafer holder 4 ' can be reliably moved in any of the front and rear directions, and the wafer can be accurately transferred to the target position.
  • the second front arm is removed, the thickness of the joint 10 of the wafer holder 4 ′ can be reduced, and the wafer can be transferred through the wafer holder 4 ′ even with a narrower opening. .
  • the posture maintaining link 5 is provided without using a belt such as a steel belt or a gear wheel, the fogging type arm 3 can be used even in a high temperature and corrosive environment.
  • the operation is stable, and the posture is always kept constant, so that the semiconductor wafer W can be transferred accurately and reliably to a target position.
  • the first and second drive arms 6A and 68 and the first and second front arms 8A and 8B are all set to the same length.
  • the thought point evasion link 1 1 is provided to avoid the thought point of the flap leg type arm 3, so that the frog leg type arm 3 is continuously provided in both the front and rear directions with respect to the support 2. Since the semiconductor wafer W can freely expand and contract, it is possible to use a wafer holder 4 ′ that can simultaneously transfer two semiconductor wafers W as shown in FIG. 3, thereby increasing the transfer efficiency of the semiconductor wafer W. In this embodiment, the same operation and effect as those of the first embodiment can be obtained.
  • the second front arm is omitted, and the thickness of the joint 10 of the wafer holder 4 ′ is reduced, so that the wafer is transferred through a narrower opening. be able to.
  • the same as in the second embodiment is used. An effect can be obtained.
  • a transfer device includes a drive shaft having a first and second drive shaft (not shown) having a force-cave shaft structure (hereinafter simply referred to as a This is called the “drive shaft.”
  • a drive shaft having a first and second drive shaft (not shown) having a force-cave shaft structure (hereinafter simply referred to as a This is called the “drive shaft.”
  • a support 21 that supports the drive shaft 26 at the shaft center position, and has a built-in drive source for the drive shaft 26, and a drive shaft 26 of this support 21
  • a parallel link arm 22 having a base end supported on the base; a wafer holder 23 for holding a semiconductor wafer W connected to a distal end of the parallel link arm 22;
  • a posture holding link 24 for regulating the posture of the system 22 and keeping the wafer holder 23 in a constant posture at all times.
  • the first drive shaft that forms the drive shaft 26 is formed as a hollow shaft
  • the second drive shaft is formed as a shaft that passes through the axis of the hollow shaft.
  • the first and second drive shafts are both connected to a drive source, and are configured to be rotatable forward and backward.
  • the parallel link type arm 22 is expanded and contracted by the forward and reverse rotation of the second drive shaft.
  • the first and second drive shafts rotate in the same direction by the same angle at a time, so that the transfer direction by the parallel link type arm 22 can be changed. Therefore, when the transfer apparatus of the present embodiment is applied to, for example, a multi-chamber processing apparatus, the wafer can be freely loaded and unloaded to and from a plurality of processing chambers.
  • the parallel link arm 22 includes a shoulder link 25 having a base end pivotally supported by a first drive shaft, and a base end free swing supported by a second drive shaft.
  • Forward and reverse rotatable elbow links 32 supported at the distal ends of a drive arm 27 and a driven arm 29 via second and third joints 30 and 31 respectively; and an elbow A forward and reverse rotatable first forearm 33 whose base end is supported by a link 32 via a second joint 30 and a third joint whose base end is connected to an elbow link 32 And a second front arm 34 rotatably supported in a forward / reverse direction by being supported through 31.
  • the holding body 23 is pivotally supported at the distal ends of the first and second front arms 33, 34 via fourth and fifth joints 35, 36, respectively. It is supported horizontally at the tip of 2.
  • the drive arm 27, the driven arm 29, the first and second front arms 33, 34 are all set to the same length.
  • elbow The link 32, the drive arm 27, and the first front arm 33 are linked to each other.
  • one end of the posture holding link 24 of the present embodiment is connected to a substantially intermediate portion in the longitudinal direction of the drive arm 27 via the shaft 24A.
  • One end of the first link 24B is connected to the other end of the first link 24B via a shaft 24C, and the shaft is connected to the extension end of the elbow link 32 from the shaft 30 side.
  • a second link 24 E connected to the other end via 24 D, and an extension end of the first front arm 33 from the second joint 30 via a shaft 24 F
  • a third link 24H is connected at one end and connected to a part of the second link 24E at the other end via a shaft 24G.
  • FIG. 10 is an explanatory view of a link mechanism of the parallel link type arm 22 and the attitude maintaining link 24 shown in FIG. 9.
  • the attitude maintaining link 24 will be described with reference to FIG.
  • the parallel link type arm 22 includes a first parallel link mechanism including a fixed shoulder link 25, a drive arm 27, a driven arm 29 and an elbow link 32, and an elbow link 32, 1, a second front arm 33, 34, and a second parallel link mechanism configured between the joints 35, 36 of the wafer holder 23.
  • the length of the first link 24B is set to be equal to the length between the second joint 30 and the axis 24D
  • the length of the second link 24E is equal to the length of the second link 24E.
  • the length between the second joint 30 of the drive arm 27 and the axis 24A is set to be equal, and this operation is performed on the axis 24A, the axis 24C, the wheel 24D, and the joint 30.
  • a first anti-parallel link mechanism in the configuration is configured.
  • the length between the second joint 30 of the drive arm 27 and the axis 24 F is set to be equal to the length between the axis 24 D and the axis 24 G of the second link 24 E
  • the length of the third link 24 H and the length between the second joint 30 of the elbow link 32 and the axis 24 D are set to be equal
  • the length ratio of the link 24 H is set to be equal.
  • the angle sandwiching the shaft 24A is equal to the angle sandwiching the shaft 24D
  • the angle sandwiching the shaft 24C is equal to the angle sandwiching the joint 30.
  • the angle sandwiching the shaft 24D is equal to the angle sandwiching the shaft 24F
  • the angle sandwiching the shaft 24G is equal to the angle sandwiching the joint 30. Since the first and second antiparallel link mechanisms share the angle sandwiching the shaft 24D, the corresponding angles are all equal, and the two have a similar shape. These relationships hold regardless of the posture of the parallel link arm 22.
  • the angle between the joints 30 of the first anti-parallel link mechanism and the angle between the joints 30 of the second anti-parallel link mechanism are always equal, and the elbow link 32 is a parallel link arm 22.
  • the included angle between the driving arm 27 and the first front arm 33 is bisected.
  • the vehicle travels straight on the shoulder link 25 and finally passes straight to the delivery position of the semiconductor wafer W shown in (d) of FIG.
  • the operation of 23 is stable, and the wafer holder 23 always moves straight on the shoulder link 25, and can always and accurately transfer the semiconductor wafer W to the target position while maintaining a constant posture. .
  • the transfer device having the frog-reader type arm of the present invention can also be configured as shown in FIGS. 12 to 15, and these transfer devices also have the same operation and effect as those of the first and second embodiments. Can be expected.
  • the transfer device shown in FIG. 12 includes a drive shaft 31 having a coaxial structure, a frog-legged arm 32, a wafer holder 33, and a posture holding link 3 for connecting the wafer holder 33 and the frog-legged arm 32. It has four.
  • the frogredder arm 32 includes first and second drive arms 32A, 32B and first and second front arms 32C,
  • It has 3 2D and is connected to the wafer holder 33 via the joint 35.
  • the posture maintaining link 34 includes a first link 34 B having one end connected to a first front arm 32 C via a shaft 34 A, and a first link 34 B. One end was connected to the other end of 34 B via a shaft 34 C, and the other end was connected via a shaft 34 D to the longitudinal extension of the joint 35 of the wafer holder 33.
  • a fifth link 34 K parallel to the third link 34 G is connected to the wafer holder 33 at the other end via a shaft 34 D.
  • the fourth link 34I is connected to the second front arm 32D via a shaft 34L.
  • Each link is rotatable about each axis.
  • the length of the first link 34 B and the length between the joints 35 of the shaft 34 D are set to be equal, and the length of the second link 34 E and the length between the shaft 34 A and the joint 35 are set.
  • the first antiparallel link mechanism is constituted by the axes 34A, 34C, 34D, and the joint 35.
  • a second antiparallel link mechanism is composed of 4D, virtual point 36, $ 34, and joint 35.
  • the ratio of the length of the second link 34 E to the length of the first link 34 B, and the length between the axis 34 D and the joint 35 to the length between the axis 34 D and the virtual point 36 Are set to be equal.
  • the angle formed by the second link 34E, the shaft 34D, and the connection of the joint 35 is shared by the first and second anti-parallel link mechanisms.
  • the first and second anti-parallel link mechanisms are similar to each other, and the vertex angle at the joint 35 of the first anti-parallel link mechanism and the vertex at the joint 35 of the second anti-parallel link mechanism. The angle is always equal. Therefore, the wafer holder
  • the axis of 3 3 3 4 D and the spring of joint 3 5 always divide the angle between the first and second front arms 32 C and 32 D into two, and the wafer holder 33 always swings right and left Maintain a constant posture without any change.
  • the transport device shown in Fig. 13 has a drive shaft 41 with a coaxial structure and a frog-legged arm.
  • the frog-legged arm 42 includes first and second drive arms 42A, 428 and first and second front arms 42C,
  • It has 42D and is connected to the wafer holder 43 via a joint 45.
  • the posture maintaining link 44 includes a first link 44 B having one end connected to a first front arm 42 C via a shaft 44 A, and a first link 44 B.
  • a second link 44 D that is force-coupled to the other end of 44 B via a shaft 44 C, and one end is connected to the other end of the second link 44 D via a shaft 44 E.
  • a third link 44 F connected to the other end and connected to the joint 45 (or as a part of the base end from the joint 45 to the base end on the axis of the base end of the wafer holder) And a fourth link 44 G having one end connected to the other end of the first link 44 B via a shaft 44 C; and a fourth link 44 G
  • Fifth link 4 4 J connected at one end to the other end via a shaft 44 H and connected at the other end to a shaft 44 I arranged at the second front arm 42 D
  • One end is connected to the shaft 44H of the fourth and fifth links 44G, 44J and the wafer
  • the bearing member 4 3 joints 4 5 longitudinal extension being arranged on the shaft 4 4 K is connected the other end force It has a sixth link 4 4 L.
  • Each link is edible in each axis.
  • the length of the first link 44B and the length of the third link 44F are set to be equal, and the length of the second link 44D and the axis of the first front arm 42C 44A And the length between the joints 45 are set to be equal, and the first antiparallel link mechanism is constituted by the shafts 44A, 44C, 44E, and the joints 45.
  • the length of the second link 44 D and the length of the fifth link 44 L are set to be equal, and the length of the fourth link 44 G and the axis 44 4 of the base end of the wafer holder 43 are set.
  • the length between E and the shaft 44K is set to be equal, and the shaft 44C, the shaft 44E, the shaft 44K, and the shaft 44 4 constitute the second antiparallel link mechanism. .
  • the ratio of the length of 44D and the ratio of the length of the fourth link 44G to the length of the second link 44D are set to be equal.
  • the angle formed by the connection of 4 4 ⁇ and the joint 4 5 is shared by the first and second anti-parallel link mechanisms, and the first and second anti-parallel irrespective of the retractable arm 42
  • the link mechanisms are similar to each other.
  • the square formed by axis 44 I, axis 44 mm, axis 44 mm, and joint 45 is set to be a parallelogram. From this, the apex angle at the joint 35 of the first antiparallel link mechanism and the axis of the second antiparallel link mechanism
  • the apex angle at 44 ° is always equal, and the apex angle at this axis 44 ° is equal to the angle formed by the connection of the second front arm 42D with the axis 44 ° and the joint 45.
  • the angle formed by the connection of the front arm 4 2D of No. 2 with the axis 4 4 ⁇ and the joint 4 5 is equal to the apex angle at the joint 45 of the first antiparallel link mechanism. Therefore, the connection between the shafts 44 ⁇ and 44 4 always divides the angle between the first front arm 42C and the second front arm 42D, and the wafer holder 43 is always Maintain a constant posture without swinging left and right.
  • the transfer device shown in Fig. 14 has a coaxial drive shaft 51 and a frog-legged arm.
  • the frogredder arm 52 includes a first and a second drive arm 52, a second and a first and a second front arm 52C,
  • the wafer holder 53 is connected to the wafer holder 53 via joints 55, 56 that have 52D and are arranged side by side in a direction perpendicular to the longitudinal direction of the base end of the wafer holder 53.
  • the posture maintaining link 54 includes a first fixed link 54B having one end connected to the first front arm 52C via a fixed shaft 54A.
  • a first link 54D having one end connected to the other end of the first fixed link 54B via a shaft 54C, and a shaft 54E connected to the other end of the first link 54D.
  • the other end is connected via a shaft 54F disposed at the base end of a wafer holder 53 that is force-connected at one end and forms an isosceles triangle with the joints 55, 56.
  • Link 54G fixed shaft 54 arranged on the second link 54G, second fixed link 54I connected to the 4H, and shaft 5 at the other end of the second fixed link 54I.
  • a third link 54K one end of which is connected via 4J; and a second front arm 5 having one end connected to the other end of the third link 54K via a shaft 54L. It has a third fixed link 54 N with the other end connected to a 2D fixed shaft 54 M. There Ru.
  • the length of the first link 54D and the length between the axis 54F and the joint 55 in the wafer holder are set to be equal, and the length of the second link 54G and the axis 54C and the joint 55
  • the connection lengths indicated by the magnetic springs are set to be equal, and the first antiparallel link mechanism is constituted by the shaft 54C, the shaft 54E, the shaft 54F, and the joint 55.
  • the length of the third link 54K and the length of the connection shown by the broken line between the axis 54F and the joint 56 are set to be equal, and the length between the axis 54F and the axis 54J is set.
  • the length of the connection shown by the broken line and the length of the connection shown by the broken line between the axis 54 L and the joint 56 are set to be equal, and the axis 54 F, the axis 54 J, the axis 54 L, the joint
  • the second anti-parallel link mechanism is constituted at 56.
  • the ratio of the length of the second link 54 G to the length of the first link 54 D, and the length of the third link 54 K to the length between the shaft 54 F and the shaft 54 J The ratios are set to be equal.
  • the apex angle at the axis 54 F of the first anti-parallel link mechanism and the axis 54 F of the second anti-parallel link mechanism are set so that the apex angle at
  • the first and second anti-parallel link mechanisms are similar to each other. From this, the vertical angle at the joint 55 of the first anti-parallel link mechanism is always equal to the vertical angle at the joint 56 of the second anti-parallel link mechanism. Therefore, also in this case, the wafer holder 53 always swings right and left when the frog leg type arm 54 is operated. Keep a certain posture without having to.
  • the transfer device shown in FIG. 15 includes a drive shaft 61 having a coaxial structure, a frogredder arm 62, a wafer holder 63, and a posture holding link for connecting the wafer holder 63 and the frog-legged arm 62. It has 6 4.
  • the frog-redder type arm 62 includes first and second drive arms 62A and 62D, and first and second front arms 62C and 62D. It is connected to the wafer holder 63 via joints 65, 66 arranged side by side in a direction perpendicular to the longitudinal direction of the end.
  • the posture maintaining link 64 includes a first link 64 A (an extension of the first front arm 62 C) having one end connected to the joint 65, A second link 64 C having one end connected to the other end of the first link 64 A via a shaft 64 B, and a shaft 64 D connected to the other end of the second link 64 C.
  • Link 64 F connected at one end to the shaft 64 E of the wafer holder 63 and one end at the other end of the third link 64 F via the shaft 64 G
  • a fourth link 64 I which is force-coupled and the other end of which is connected to a second front arm 62 D via a shaft 64 H.
  • Axis 64E is located on the extension of joints 65,66. Each link is rotatable on each axis.
  • the length of the first link 64 A and the length between the axis 64 D and the axis 64 E of the third link 64 F are set to be equal, and the length of the second link 64 C and the joint 65
  • the length between the axes 64E is set to be equal, and the joint 65, the axis 64B, the axis 64D, and the axis 64E constitute a first antiparallel link mechanism.
  • the length between the joint 66 and the shaft 64E is set to be equal to the length of the fourth link 64I, and the length of the third link 64F and the length of the second front arm 62D are set.
  • the length between the joint 66 and the axis 64H is set to be equal, and the joint 66, the axis 64E, the axis 64G, and the axis 64H constitute the second anti-parallel link mechanism. ing. Furthermore, the ratio of the length of the second link 64 C to the length of the first link 64 A is equal to the ratio of the length of the third link 64 F to the length of the fourth link 64 I. Is set to The connection between the joint 66 and the shaft 64E and the angle formed by the third link 64F are shared by the first and second anti-parallel link mechanisms. However, the first and second anti-parallel link mechanisms are similar to each other.
  • the joint 65 of the first anti-parallel link mechanism is And the vertex angle at the joint 66 of the second anti-parallel link mechanism is always equal. Therefore, also in this case, the wafer holder 63 always keeps a constant posture without swinging left and right.
  • the transfer device having the parallel link type arm of the present invention can also be configured as shown in FIGS. 16 and 17, and these transfer devices also provide the same operation and effect as in the fourth embodiment. be able to.
  • the transfer device shown in FIG. 16 has a parallel link arm 73 whose base end is supported by a drive shaft 71 of a support (not shown), and is connected to a distal end of the parallel link arm 73.
  • This transfer device has the same configuration as the transfer device shown in FIG. 5 except that the mounting positions of the wafer holder 74 and the attitude holding link 75 are different.
  • the wafer holder 74 holds two semiconductor wafers, and the form of support by the parallel link arm 73 is the same as that shown in FIG.
  • the parallel link arm 73 includes a shoulder link 76, a driving arm 77, a driven arm 78, an elbow link 79, a first front arm 80 and a second And a front arm 8 1.
  • Each of 82 to 85 is a joint.
  • the posture maintaining link 75 shown in FIG. 16 includes a first link 75 B having one end connected to an extended end of the drive arm 77 via a shaft 75 A, and a first link 75 B.
  • a second link 75 D connected to the end via a shaft 75 C, and a shaft connected to one end of the second link 75 D
  • the length of the first link 75B and the length between the joint 83 of the elbow link 79 and the axis 75H are set to be equal, and the joint of the drive arm 77
  • the length between 8 3 and axis 75 A and the length between the second link 75 D of axis 75 C and axis 75 H are set to be equal, and the axis 75 A, axis 75 C, The shaft 75H and the joint 83 constitute a first anti-parallel link mechanism. Also, the third link 75 G length and elbow link
  • the length between joint 9 9 8 3 and axis 7 5H is set to be equal, and the second link
  • the length of 75D and the length between the joint 83 of the first front arm 80 and the axis 75F are set to be equal, and the axis 75 to I, the axis 75E and the axis 75 F, the second anti-parallel link mechanism is constituted by the joint 83.
  • the ratio of the length between the joint 83 and the shaft 75A of the drive arm 77 to the length of the first link 75B, and the third link 75G to the length of the second link 75D Are set to be equal. Since the first and second anti-parallel link mechanisms share the angle sandwiching the shaft 75H, the corresponding angles are all equal, and the two have a similar shape.
  • the wafer holder 7 4 moves straight on the shoulder link 7 6 via the attitude holding link 7 5.
  • the semiconductor device can be accurately transported to a target position located on an extension of the semiconductor device.
  • the posture maintaining link 95 shown in FIG. 17 includes a first link 95 B having one end connected to an extended end of the drive arm 97 via a shaft 95 A, and a first link 95 B.
  • a second link 95D having one end connected to the other end of the first link 95C via a shaft 95C, and one end being connected to the other end of the first link 95B via a shaft 95C.
  • It has a third link 95E.
  • the other end of the second link 95D is connected to the second front arm 101 via the shaft 95F, and the other end of the third link 95E is articulated to the elbow link 99. They are connected via 102.
  • the length of the first link 95B and the length between the joints 102 and 103 of the elbow link 99 are set to be equal, and the length of the third link 95E and the drive arm 97
  • the length between the joint 103 and the shaft 95A is set to be equal, and the first anti-parallel link mechanism is formed at the shaft 95A, the shaft 95C, the joint 102, and the joint 103. It is configured.
  • the length of the second link 95D and the length between the joints 102 and 106 of the elbow link 99 are set to be equal, and the length of the third link 95E and the front of the second Arm
  • the length between the joint 101 of 106 and the axis 95F is set to be equal, and the second antiparallel at axis 95C, axis 95F, joint 106 and joint 102 A link mechanism is configured.
  • the ratio of the length of the third link 95E to the length of the first link 95B is equal to the ratio of the length of the second link 95D to the length of the third link 95E. It is set as follows.
  • the corresponding angles are all equal, and the two have a similar shape. Accordingly, when the drive arm 97 rotates counterclockwise via the second drive shaft of the drive shaft 91, the wafer holder 94 moves straight on the shoulder link 96 via the attitude holding link 95. However, the semiconductor wafer W can be accurately transferred to a target position arranged on an extension of the semiconductor wafer W.
  • the transfer device having the frog leg type arm or the parallel link type arm of the present invention is not limited to the above embodiments at all, and may be a device having two anti-parallel link mechanisms as posture maintaining links. All of them are included in the present invention. Also, even if the two anti-parallel link mechanisms are not clearly provided, the distance between the two points by another parallel link mechanism or a bent fixed link as in the transport device shown in Fig. 12 and Fig. 14 Is kept constant, and two antiparallel link mechanisms appear by drawing an imaginary line there. If they have them as posture maintaining links, they are all included in the present invention.

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Manipulator (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

明 細 書 搬 送 装 置 技術分野
本発明は、 例えば半導体製造装置等にお 、て被搬送体である半導体ウェハを搬 送する搬送装置に関する。
従来のこの種の搬送装置は、 例えば処理装置におけるウェハ収納室と処理室と の間で半導体ウェハを搬送する装置として用いられている。 この種の搬送装置と しては例えばフロッグレツグ (娃の脚) 式アームを有するものや平行リ ンク式ァ ームを有するものが知られている。 フロッグレツグ式アームの場合には、 例えば 基端部が駆動軸にそれぞれ: 1¾された一対の ϋ¾アームと、 これらの駆動アーム の先端部に関節を介してそれぞれ された一対の前部アームと、 これらの前部 アームの先端部にそれぞれ連結されたウェハ保持体とを有している。 そして、 例 えば一対の前部アームの先端部は上下 2段の回転ドラムを介してウェハ保^:と それぞれ ¾ tされている。 また、 各上下回転ドラムには上下 2本のスチールベル トがたすき掛けされ、 一対の前部アーム力《確実に同期して同一角度ずつ;^向へ 回転するようにしてある。 あるいは回転ドラム及びスチールベルトからなる姿勢 機構に換えて歯 構が使用されている。 このような姿勢保持 によりフ ロッグレッグ式ァームが左: を保持した姿勢で屈伸するようにしてある。 ま た、 このような姿勢保持■は動力伝 として平行リンク式アームの場合に も関節部等に使用されている。
しかしながら、 例えば半導体ゥエノ、の処理室は高温で腐食性の高い環境である 場合が多く、 このような環境に対してスチールベル卜等のベルトを有するアーム を出し入れすると、 ベルト力 <高温下の腐食性環境に曝されることになる。 スチ- ルペルト等のベルトは耐熱性、 耐食性に限度があり、 処理室等の高温、 腐食性環 境下では寿命力 <短くなるという課題があった。 また、 歯車を用いた搬送装置の場 合にはスチールベルト等のような問題はないが、 歯車の場合にはダストの原因と なるパーティクルを発生し易く、 また、 バックラッシ等で搬送精度上の課題があ つた o 発明の開示
本発明は、 上記課題を解決するためになされたもので、 ベルトや歯車等の伝達 機構を使うことなく、 耐熱性、 耐腐食性に優れ常に安定した姿勢で半導体ウェハ 等の被搬送体を正確且つ確実に搬送することができる搬送装置を提供することを 目的としている。
本願の第 1の発明に係る搬送装置は、 支持体と、 この支持体で支持された第 1、 第 2の軸と、 第 1、 第 2の軸に基端部で連結されたフロッグレツグ式アームと、 このフロッグレツグ式ァ―ムの先端部に連結された、 被搬送体を保持する保持体 とを備えた搬送装置において、 上記フロッグレツグ式アームは、 第 1の軸に基端 部が軸支された回転可能な第 1の駆動アームと、 第 2の軸に基端部が軸支された 回転可能な第 2の駆動アームと、 第 1の駆動アームの先端部に第 1の関節を介し て基端部が軸支された回転可能な第 1の前部ァームと、 第 2の駆動アームの先端 部に第 2の関節を介して基端部が軸支された回転可能な第 2の前部アームとを備 え、 上記保持体は、 第 1、 第 2の前部アームそれぞれの先端部に第 3、 第 4の関 節を介して軸支されてなり、 且つ、 第 1、 第 2の前部アームと上記保持体とを互 いに連結する 2つの相似な逆平行リンク機構からなる姿勢保持リンクを設け、 こ の姿勢保持リンクを介して第 1、 第 2の前部アームに対する上記保持体の回転を 規制することを特徴とするものである。
また、 本願の第 2の発明に係る搬送装置は、 第 1の発明において、 第 1の軸と 第 2の軸は軸芯を共有すると共に第 3の関節と第 4の関節は軸芯を共有し、 第 1、 第 2の駆動アーム及び第 1、 第 2の前部アームの長さは全て等しく、 第 1の駆動 アームと対向するリンクを設け、 このリンクの両端部を第 1の前部アーム及び第 2の駆動アームにそれぞれ連結して平行リンク機構を構成し、 この平行リンク機 構は、 同軸構造の第 3、 第 4の関節が同軸構造の第 1、 第 2の軸と重なる位置と は別の位置で思案点を作るようにしたことを特徴とするものである。 また、 本願の第 3の発明に係る搬送装置は、 支持体と、 この支持体で支持され た軸芯を共有する第 1、 第 2の軸と、 第 1、 第 2の軸に基端部で連結されたフロ ッグレッグ式ァ一ムと、 このフロッグレツグ式ァ一ムの先端部に連結された、 被 搬送体を保持する保持体とを備えた搬送装置において、 上記フ口ッグレツグ式ァ ームは、 第 1の軸に基端部が軸支された回転可能な第 1の駆動アームと、 第 2の 軸に基端部が軸支された回転可能な第 2の駆動ァームと、 第 1の駆動ァ一ムの先 端部に第 1の関節を介して基端部が軸支された回転可能な前部アームと、 第 1の 駆動ァームと平行に対向し両端部が上記前部ァ―ム及び第 2の駆動ァ一ムにそれ ぞれ連結された 2本のリンクとを備え、 第 1、 第 2の駆動アーム、 前部アーム及 び 2本のリンクそれぞれの長さを等しく設定すると共にこれら 3本のアーム及び 2本のリンクで 2つの平行リンク機構を構成し、 上記 2つの平行リンク機構は互 、に別の位置で思案点を作ると共に第 1、 第 2の駆動ァ—ム及び上記前部ァ一ム は菱形の 3辺を構成し、 上記保持体は、 上記前部アームそれぞれの先端部に第 2 の関節を介して軸支されてなり、 且つ、 上記前部アームと第 2の駆動アーム先端 部と上記保 とを互いに連結する 2つの相似な逆平行リンク機構からなる姿勢 保持リンクを設け、 この姿勢保持リンクを介して上記前部アームに対する上記保 樹本の回転を規制することを特徴とするものである。
また、本願の第 4の発明に係る搬送装置は、 第 2の発明または第 3の発明にお いて、 上記保持体は両端部に被搬送体の保持部を有し、上記保持部の中間に関節 を配置することを特徴とするものである。
また、 本願の第 5の発明に係る搬送装置は、 支持体と、 この支持体で基端部側 が支持された平行リンク式アームと、 この平行リンク式アームの先端部側に連結 された、被搬送体を保持する保持体とを備えた搬送装置において、 上記平行リン ク式アームは、 上記支持体に固定された第 1のリンクと、 第 1のリンクの一端部 を貫通する駆動軸に基端部力 由支された回転可能な駆動アームと、 第 1のリンク の他端部に第 1の関節を介して基端部が軸支された回転可能な従動アームと、 上 記駆動アームと上記従動アームそれぞれの先端部に互いに第 2、 第 3の関節を介 して軸支された回転可能な第 2のリンクと、 第 2のリンクに基端部がそれぞれ軸 支された回転可能な第 1、 第 2の前部アームとを備え、上記保持体は、 第 1、 第 2の前部アームそれぞれの先端部に第 4、 第 5の関節を介して軸支されてなり、 且つ、 上記駆動アームまたは上記従動アームと、 第 2のリンクと、 第 1の前部ァ ームまたは第 2の前部ァ一ムとを連結する 2つの相似な逆平行リンク機構からな る姿勢保持リンクを設け、 この姿勢保持リンクを介して上記保持体を直進させる ことを特徴とするものである。
本願の第 1の発明または第 5の発明によれば、 ベルトゃ歯車等の伝達機構を使 うことなく、耐熱性、 耐腐食性に優れ常に安定した姿勢で半導体ウェハ等の被搬 送体を正確且つ確実に搬送することができるフロッグレッグ式ァ一ムまたは平行 リンク式アームを有する搬送装置を提供することができる。
また、 本願の第 2の発明または第 4の発明によれば、 第 1の発明において、 支 持体を中心としてその前後方向へ自由に被搬送体を搬送することができるフロッ グレッダ式アームのアームを有する搬送装置を提供することができる。
また、 本願の第 3の発明によれば、 第 1の発明と同様の作用効果を有すると共 に狭い開口部であつても被搬送体を搬入出できるフロッグレッグ式アームのァー ムを有する搬送装置を提供することができる。 図面の簡単な説明
図 1は、 本発明のフロッグレッグ式ァ一ムを有する搬送装置の一実施形態を示 す斜視図である。
図 2は、 図 1に示す搬送装置のリンク機構の説明図である。
図 3は、 本発明のフロッグレッグ式ァ一ムを有する搬送装置の他の実施形態を 示す斜視図である。
図 4は、 図 3に示す搬送装置の動作説明図である。
図 5は、 本発明のフロッグレツグ式アームを有する搬送装置の更に他の実施形 態の要部を示す斜視図である。
図 6は、 図 5に示す搬送装置の動作説明図である。
図 7は、 本発明の平行リンク式アームを有する搬送装置の一実施形態を示す斜 視図である。
図 8 ( a ) は、 図 7に示す搬送装置の平面図、 (b ) はその側面図である。 図 9は、 図 7に示す搬送装置のウェハ保持体が後退した状態を示す平面図であ る。
図 1 0は、 図 9に示す搬送装置のリンク機構の説明図である。
図 1 1は、 図 9に示す搬送装置の動作説明図である。
図 1 2は、 本発明のフロッグレツグ式アームを有する搬送装置の更に他の実施 形態を示す図 2に相当する図である。
図 1 3は、 本発明のフロッグレツグ式ァ一ムを有する搬送装置の更に他の実施 形態を示す図 2に相当する図である。
図 1 4は、 本発明のフロッグレツグ式アームを有する搬送装置の更に他の実施 形態を示す図 2に相当する図である。
図 1 5は、 本発明のフロッグレッダ式アームを有する搬送装置に更に他の実施 形態を示す図 2に相当する図である。
図 1 6は、 本発明の平行リンク式アームを有する搬送装置の更に他の実施形態 を示す図 2に相当する図である。
図 1 7は、 本発明の平行リンク式アームを有する搬送装置の更に他の実施形態 を示す図 に相当する図である。 発明を実施するための最良の形態
以下、 図 1〜図 1 7に示す実施形態に基づいて本発明を説明する。
本発明の第 1の実施形態の搬送装置は、例えば図 1、 図 2に示すように、 第 1、 第 2の駆動軸 (図示せず) 力洞軸構造になった駆動軸 (以下便宜上、 単に 「駆動 軸」 と称す。 ) 1と、 駆動軸 1を軸芯位置で支持すると共に駆動軸 1の駆動源を 内蔵した支持体 2と、 支持体 2の駆動軸 1に基端部側で連結されたフロッグレッ グ式アーム 3と、 フロッグレツグ式アーム 3の先端部側に連結されたウェハ保持 体 4と、 ウェハ保持体 4の回転を規制しウェハ保持体 4を常に一定の姿勢に保持 する姿勢保持リンク 5とを備えている。 駆動軸 1を構成する第 1の駆動軸は中空 軸として形成され、 第 2の駆動軸は中空軸の軸芯を貫通する軸として形成され、 第 1、 第 2の軸はいずれも駆動源に連結され、 それぞれ正逆回転可能に構成され ている。 第 1、 第 2の軸が逆方向に互いに同一角度ずつ回転することによりフロ ッグレッダ式アーム 3を伸縮するようになっている。 第 1、 第 2の軸が同方向に 同一角度ずつ回転することによりフロッグレツグ式アーム 3による搬送方向を換 えることができる。 従って、 本実施形態の搬送装置を例えばマルチチャンバー処 理装置に適用した場合には、 複数の処理室に対して自由にゥェハを搬入出するこ とができる。
上記フ口ッグレッグ式ァ一ム 3は、 第 1の駆動軸に基端部が軸支された正逆回 転可能な第 1の駆動アーム 6 Aと、 第 2の駆動軸に基端部が軸支された正逆回転 可能な第 2の駆動アーム 6 Bと、 第 1の駆動アーム 6 Aの先端部に基端部が第 1 の関節 7を介して軸支された正逆回転可能な第 1の前部アーム 8 Aと、 第 2の駆 動アーム 6 Bの先端部に基端部が第 2の関節 9を介して軸支された正逆回転可能 な第 2の前部アーム 8 Bとを備えている。 第 1、 第 2の前部アーム 8 A、 8 Bは、 それぞれの先端部が同軸構造の第 3、 第 4の関節 1 0 (以下便宜上、 単に 「関節 1 0」 と称す。 ) を介してウェハ保持体 4の基端部の幅方向中央に軸支され、 ゥ ェハ保持体 4の基端部においてそれぞれ正逆回転可能に構成されている。 第 1、 第 2の前部アーム 8 A、 8 8は第1、 第 2の駆動アーム 6 A、 6 Bよりも僅かに 長く形成されている。 そして、 ウェハ保持体 4と一対の第 1、 第 2の前部アーム 8 A、 8 Bとは互いに姿勢保持リンク 5を介して連結されている。
而して、 本実施形態の姿勢保持リンク 5は、 図 1に示すように、 第 1の前部ァ ーム 8 Aの長手方向中間部に軸 5 Aを介して一端部力連結された第 1リンク 5 B と、 第 1リンク 5 Bの他端部に軸 5 Cを介して一端部力連結され且つウェハ保持 体 4の基端部に軸 5 D (関節 1 0より先端部側で幅方向中央に位置している) を 介して他端部が連結された第 2リンク 5 Eと、 第 2リンク 5 Eの軸 5 D近傍に軸 5 Fを介して一端部力連結され且つ第 2の前部アームの先端部近傍に軸 5 Gを介 して他端部が連結された第 3リンク 5 Hとから構成されている。 また、 図 2に破 線で示すように、 ウェハ保持体 4の関節 1 0と車由 5 D間で姿勢保持リンク 5の第 4リンク 5 Iを作っている。
図 2は図 1に示すフロッグレッグ式ァ一ム 3及び姿勢保持リンク 5のリンク機 構の説明図で、 この図を参照しながら姿勢保持リンク 5について説明する。 姿勢 保持リンク 5のうち、 第 1リンク 5 Bの長さと第 4リンク 5 Iの長さは等しくな るように設定され、 第 2リンク 5 Eの長さと関節 1 0と軸 5 A間の長さは等しく なるように設定され、 軸 5 A、 軸 5 C、 軸 5 D、 関節 1 0で第 1の逆平行リンク 機構が 4冓成されている。 第 3リンク 5 Hの長さと第 4リンク 5 Iの長さは等しく なるように設定され、 軸 5 D、 軸 5 F間の長さと軸 5 Gと関節 1 0間の長さは等 しくなるように設定され、 軸 5 D、 軸 5 F、 軸 5 G、 関節 1 0で第 2の逆平行リ ンク機す冓カ構成されている。 第 1リンク 5 Bの長さに対する第 2リンク 5 Eの長 さの比と、 軸 5 D、 軸 5 F間の長さに対する第 3リンク 5 Hの長さの比は等しく なるように設定されている。 逆平行リンク機構とは平行リンク機構を対角線で折 曲げてできるリンク機構である。
従って、 第 1の逆平行リンク機構では、 軸 5 Aを挟む角度と軸 5 Dを挟む角度 は等しく、 軸 5 Cを挟む角度と関節 1 0を挟む角度は等しい。 また、 第 2の逆平 行リンク機構では、 軸 5 Dを挟む角度と軸 5 Gを挟む角度は等しく、 軸 5 Fを挟 む角度と関節 1 0を挟む角度は等しい。 し力、も、 第 1、 第 2の逆平行リンク機構 は、 軸 5 Dを挟む角度を共有しているため、 対応する角度が全て等しくなり、 両 者は相似形になっている。 これらの関係はフロッグレッダ式アーム 3が如何なる 姿勢であっても成り立つ。 以上のことから、 第 1の逆平行リンク機構の関節 1 0 を挟む角度と第 2の逆平行リンク機構の関節 1 0を挟む角度は常に等しく、 ゥェ ハ保持体 4で構成する第 4リンク 5 Iのアーム側への延長線はフロッグレツグ式 アーム 3力如何なる姿勢であっても第 1、 第 2の前部アーム 8 A、 8 Bの挟角を 二等分する。 従って、 姿勢保持リンク 5はウェハ保持体 4を常にフロッグレッダ 式アーム 3の先端部で左右対称の姿勢を保持してフロッグレツグ式ァ一ム 3の伸 縮にともなつて左右に振れることなく直進し、 ゥェハを目的の位置へ正確に搬送 することができる。
図 3は本発明の第 2の実施形態を示す図である。 第 2の実施形態ではフロッグ レッダ式アーム 3の伸縮距離を長くするため、 第 1、 第 2の駆動アーム 6 A、 6 8及び第1、 第 2の前部アーム 8 A、 8 Bの長さを全て同じにしてある。 また、 第 1の実施形態の搬送装置は、 フロッグレッダ式アーム 3が縮み、 第 1、 第 2の 駆動アーム 6 A、 6 Bが支持体 2上で一直線になり駆動軸 1と第 1、 第 2の前部 アーム 8 A、 8 Bの関節 1 0が重なった位置が思案点となる。 この位置では関節 1 0が前後 、ずれの方向へも移動可能であり、 不拘束となるため、 フロッグレッ グ式ァ一ム 3が機能しなくなる。 この思案点を回避するために第 2の実施形態で は思案点回避リンク 1 1を設けた。
そこで、 第 2の実施形態について図 3を参照しながら第 1の実施形態と同一部 分または相当する部分には同一符号を付して説明する。 本実施形態の搬送装置は、 第 1、 第 2の駆動アーム 6 A、 6 B及び第 1、 第 2の前部アーム 8 A、 8 Bの長 さを全て同一長さに設定し、 思案点回避リンク 1 1を設けた以外は第 1の実施形 態に準じて構成されている。 本実施形態における思案点回避リンク 1 1は、 例え ば図 3に示すように、 第 1の駆動アーム 6 Aと、 第 1の駆動アーム 6 Aと平行に 対向するリンク 1 1 Aと、 リンク 1 1 Aの一端部が軸 1 1 Bを介して連結された、 第 1の前部アーム 8 Aから側方に突出して第 1の関節 7と軸 1 1 Bを連結する突 出リンク 1 1じと、 リンク 1 1 Aの他端部が軸 1 1 Dを介して連結された、 第 2 の尾区動アーム 6 Bから側方に突出して駆動軸 1と軸 1 1 Dを連結する突出リンク 1 1 Eとからなり、 平行リンク機構として構成されている。 つまり、 リンク 1 1 Aの長さと第 1の駆動アーム 6 aの長さは等しく、 突出リンク 1 1 Cの長さ
(第 1の関節 7と軸 1 1 Bの距離) と突出リンク 1 1 Eの長さ (駆動軸 1と軸 1 1 Dの距離) は等しくなつている。 従って、 駆動軸 1と関節 1 0が上下で重な つて第 1、 第 2の駆動アーム 6 A、 6 Bが同一直線上に位置し、 その上には第 1、 第 2の前部アーム 8 A、 8 Bが重なっても、 思案点回避リンク 1 1を設けたため、 第 1、 第 2の前部アーム 8 A、 8 Bは不拘束にならず、 第 1、 第 2の駆動アーム 6 A 6 Bの駆動方向に応じて前後いずれの方向へも円滑に伸び、 ウェハ保持体 4を確実に前後いずれの方向へも移動させることができ、 フロッグレッグ式ァ一 ム 3の伸縮距離を長くすることができる。
また、 図 3に実線で示したウェハ保持体 4は 1枚のウェハを搬送するものであ るが、 図 3に示す搬送装置のように第 1、 第 2の前部アーム 8 A、 8 Bの思案点 を回避したタイプの搬送装置の場合には、 支持体 2を基準にして反対方向へも同 様に進出することができる。 そのため、 図 3の実線部分のウェハ保持体 4の基端 部側に同様のゥエノ、保持体を破線で示すように延設して 2枚のゥェハを保持する ウェハ保持体 4 ' を使用することができる。 そこで、 2枚のウェハを擅送できるタイプの搬送装置の動作について図 4を参 照しながら説明する。 図 4の (a ) に示すように姿勢保持リンク 5の働きでフロ ッグレッグ式アーム 3が真つ直ぐ伸びた状態で半導体ウェハ Wをウェハ保持体 4 ' の一方の保持部で受け取ると、 同図の (b ) に示すように駆動軸 1の第 1、 第 2の駆動軸が駆動してフロッグレツグ式ァーム 3が縮むが、 この際ウエノ、保持 体 4 ' は姿勢保持リンク 5の働きでウェハ Wを受け取った位置から真っ直ぐ後退 する。
引き続き駆動軸 1が駆動すると、 同図の (c ) に示すように支持体 2上でフロ ッグレッダ式アーム 3の第 1、 第 2の駆動アームがウェハ保持体 4と直交する状 態になり、 第 1、 第 2の前部アームが第 1、 第 2の駆動アームと重なる。 第 1、 第 2の前部アームと第 1、 第 2の駆動アームが重なっても思案点回避リンク 1 1 力'思案点にないため、 引き続き第 1、 第 2の駆動アーム 6 A、 6 Bが駆動すると 思案点回避リンク 1 1力作動し、 これに伴ってフロッグレツグ式アーム 3が図 4 の (d ) に示すように反対側へ伸び、 ウェハ保持体 4 ' が姿勢保持リンク 5の働 きと相俟って同図の (a ) に示した方向とは 1 8 0 ° 反対側へ直進する。 そして、 最終的には同図の (e ) に示すようにフロッグレツグ式アーム 3が所定の位置ま で伸びて半導体ウェハ Wの受け渡しを行う。
図 5は本発明の第 3の実施形態を示す図で、 第 2の実施形態と同一部分または 相当部分には同一符号を付してある。 本実施形態の搬送装置では、 図 5に示すよ うに第 2の前部アームに代えてリンク 8 C力く設けられ、 このリンク 8 Cを介して 第 1の前部アーム (以下、 「前部アーム」 と称す。 ) 8 Aの中間と第 2の駆動ァ ーム 6 Bの中間が連結されている。 また、 本実施形態では第 2の前部アームを取 り除いたため、 姿勢保持リンク 5の軸 5 Aは第 2の前部アームに代えて第 2の駆 動アーム 6 Bの先端 6 Cに連結されている。 従って、 本実施形態におけるフロッ グレッダ式アーム 3は、 第 1、 第 2の駆動アーム 6 A、 6 B、 前部アーム 8 A及 びリンク 8 Cからなる第 1の平行リンク機構として構成されている。 し力、も、 第 1、 第 2の駆動アーム 6 A、 6 B及び前部アーム 8 Aは菱形の三辺として形成さ れているため、 第 2の駆動ァ一ム 6 Bの先端 6 Cと関節 1 0の距離は常に一定に なり、 第 1、 第 2の,駆動アーム 6 A、 6 Bを駆動することによりフロッグレッダ 式アーム 3を伸縮させることができる。
また、 リンク 8 Cによる平行リンク機構が思案点になっても思案点回避リンク 1 1が働くため、 前部アーム 8 Aは不拘束にならず、 第 1、 第 2の駆動アーム 6 A、 6 Bの駆動方向に応じて前後いずれの方向へも円滑に伸び、 ウェハ保持体 4' を確実に前後いずれの方向へも移動させることができ、 フロッグレツグ式ァ ーム 3の伸縮距離を長くすることができる。 姿勢保持リンク 5の軸 5 A力第 2の 駆動アーム 6 Bの先端 6 Cに連結されているため、 軸 5 A、 軸 5 C . 軸 5 D及び 関節 1 0で第 1の逆平行リ ンク機構が構成される。 従って、 姿勢保持リ ンク 5は ウェハ保持体 4 ' を常に一定の姿勢を保持してフ口ッグレッグ式ァーム 3の伸縮 に伴って左右の振れることなく直進し、 図 6の (a )〜 (e ) に示すようにゥェ ハ保持体 4 ' を確実に前後いずれの方向へも移動させることができ、 ウェハを目 的の位置へ正確に搬送することができる。 また、 第 2の前部アームを除去したた め、 ウェハ保持体 4 ' の関節 1 0の厚みを薄くすることができ、 より狭い開口で もウェハ保持体 4 ' を通してウェハを搬送することができる。
以上説明したように第 1の実施形態によれば、 スチールベルト等のベルトや歯 車を使用せず、 姿勢保持リンク 5を設けたため、 高温で腐食し易い環境下であつ てもフロッグレツグ式ァーム 3の動作は安定し、 しかも常に一定に姿勢を保持し、 目的の位置まで半導体ウェハ Wを正確且つ確実に搬送することができる。
また、 図 3に示す第 2の実施形態によれば、 第 1、 第 2の駆動アーム 6 A、 6 8及び第1、 第 2の前部アーム 8 A、 8 Bを全て同一長さに設定し、 思案点回 避リンク 1 1を設けてフ口ッグレッグ式アーム 3の思案点を回避してあるため、 フロッグレッグ式アーム 3は支持体 2を基準にして前後いずれの方向へも連続的 に自由に伸縮するため、 図 3に示すような 2枚の半導体ウェハ Wを同時に搬送で きるウェハ保持体 4 ' を使用することができ、半導体ウェハ Wの搬送効率を高め ることができる。 本実施形態においても第 1の実施形態と同様の作用効果を奏し 得る。
また、 図 5に示す第 3の実施形態によれば、 第 2の前部アームを省略し、 ゥェ ハ保持体 4 ' の関節 1 0の厚みを薄く したため、 より狭い開口を通してウェハを 搬送することができる。 その他、 本実施形態においても第 2の実施形態と同様の 作用効果を奏し得る。
本発明の第 4の実施形態の搬送装置は、 例えば図 7〜図 9に示すように、 第 1、 第 2駆動軸 (図示せず) 力洞軸構造になった駆動軸 (以下便宜上、 単に 「駆動軸」 と称す。 ) 2 6と、 駆動軸 2 6を軸芯位置で支持すると共に駆動軸 2 6の駆動源 を内蔵した支持体 2 1と、 この支持体 2 1の駆動軸 2 6に基端部が支持された平 行リンク式アーム 2 2と、 この平行リンク式アーム 2 2の先端部に連結された、 半導体ウェハ Wを保持するウェハ保持体 2 3と、 平行リンク式ァ一ム 2 2の姿勢 を規制し常にウェハ保持体 2 3を一定の姿勢に保持する姿勢保持リンク 2 4とを 備えている。 駆動軸 2 6を構成する第 1の駆動軸は中空軸として形成され、 第 2 の駆動軸は中空軸の軸芯を貫通する軸として形成されている。 第 1、 第 2の駆動 軸はいずれも駆動源に連結され、 それぞれ正逆回転可能に構成されている。 第 2 の駆動軸が正逆回転することにより平行リンク式アーム 2 2を伸縮させるように なっている。 また、 第 1、 第 2の駆動軸が同方向に同一角度ずつ回転することに より平行リンク式アーム 2 2による搬送方向を換えることができる。 従って、本 実施形態の搬送装置を例えばマルチチヤンバ式処理装置に適用した場合には、複 数の処理室に対して自由にゥェハを搬入出することができる。
上記平行リンク式アーム 2 2は、 図 7〜図 9に示すように、 第 1の駆動軸に基 端部が軸支された肩リンク 2 5と、 第 2の駆動軸に基端部カ 由支された正逆回転 可能な駆動ァ一ム 2 7と、 肩リンク 2 5の他端部に基端部が第 1の関節 2 8を介 して軸支された正逆回転可能な従動アーム 2 9と、 駆動アーム 2 7と従動アーム 2 9それぞれの先端部に第 2、 第 3の関節 3 0、 3 1を介してそれぞれ軸支され た正逆回転可能な肘リンク 3 2と、 肘リンク 3 2に基端部が第 2の関節 3 0を介 して軸支された正逆回転可能な第 1の前部アーム 3 3と、 肘リンク 3 2に基端部 が第 3の関節 3 1を介して軸支された正逆回転可能な第 2の前部ァーム 3 4とを 備えている。
上記保持体 2 3は、 第 1、 第 2の前部アーム 3 3、 3 4それぞれの先端部に第 4、 第 5の関節 3 5、 3 6を介して軸支され、 平行リンク式アーム 2 2の先端部 で水平に支持されている。 本実施形態においては、 駆動アーム 2 7、従動アーム 2 9、 第 1、 第 2の前部アーム 3 3、 3 4は全て同一長さに設定されている。 肘 リ ンク 3 2と,駆動アーム 2 7、 第 1の前部アーム 3 3とは互いに姿勢保持リンク
2 4を介して連結されている。
而して、 本実施形態の姿勢保持リンク 2 4は、 図 7〜図 9に示すように、 駆動 アーム 2 7の長手方向の略中間部に軸 2 4 Aを介して一端部が連結された第 1リ ンク 2 4 Bと、 第 1 リ ンク 2 4 Bの他端部に軸 2 4 Cを介して一端部力連結され 且つ肘リンク 3 2の軸 3 0側からの延長端部に軸 2 4 Dを介して他端部が連結さ れた第 2リンク 2 4 Eと、 第 1の前部アーム 3 3の第 2の関節 3 0からの延長端 部に軸 2 4 Fを介して一端部が連結され且つ第 2 リ ンク 2 4 Eの一部に軸 2 4 G を介して他端部が連結された第 3リンク 2 4 Hとから構成されている。
図 1 0は図 9に示す平行リンク式アーム 2 2及び姿勢保持リンク 2 4のリンク 機構の説明図で、 この図を参照しながら姿勢保持リンク 2 4について説明する。 平行リンク式アーム 2 2は、 固定された肩リンク 2 5、 駆動アーム 2 7、 従動ァ —ム 2 9及び肘リンク 3 2で構成された第 1の平行リンク機構と、 肘リンク 3 2、 第 1、 第 2の前部アーム 3 3、 3 4及びウェハ保持体 2 3の関節 3 5、 3 6間で 構成された第 2の平行リンク機構とからなつている。
上記姿勢保持リンク 2 4のうち、 第 1 リンク 2 4 Bの長さと第 2の関節 3 0と 軸 2 4 D間の長さは等しくなるように設定され、 第 2リンク 2 4 Eの長さと駆動 アーム 2 7の第 2の関節 3 0と軸 2 4 A間の長さは等しくなるように設定され、 軸 2 4 A、 軸 2 4 C、 車由 2 4 D、 関節 3 0で本実施形態における第 1の逆平行リ ンク機構力構成されている。 また、 駆動アーム 2 7の第 2の関節 3 0と軸 2 4 F 間の長さと第 2リンク 2 4 Eの軸 2 4 Dと軸 2 4 G間の長さが等しくなるように 設定され、 第 3 リ ンク 2 4 Hの長さと肘リンク 3 2の第 2の関節 3 0と軸 2 4 D 間の長さは等しくなるように設定され、 軸 2 4 D、 軸 2 4 G、 軸 2 4 F、 関節
3 0で本実施形態における第 2の逆平行リンク機構力く構成されている。 更に、 第 1リンク 2 4 Bの長さに対する軸 2 4 Aと第 2の関節 3 0間の長さの比と、 第 2 の関節 3 0と車由 2 4 F間の長さに対する第 3リンク 2 4 Hの長さの比は等しくな るように設定されている。
従って、 第 1の逆平行リ ンク機構では、軸 2 4 Aを挟む角度と軸 2 4 Dを挟む 角度は等しく、 軸 2 4 Cを挟む角度と関節 3 0を挟む角度は等しい。 また、第 2 の逆平行リンク機構では、 軸 2 4 Dを挟む角度と軸 2 4 Fを挟む角度は等しく、 軸 2 4 Gを挟む角度と関節 3 0を挟む角度は等しい。 し力、も、 第 1、 第 2の逆平 行リンク機構は、 軸 2 4 Dを挟む角度を共有しているため、 対応する角度が全て 等しくなり、両者は相似形になっている。 これらの関係は平行リンク式アーム 2 2が如何なる姿勢であっても成り立つ。 以上のことから、 第 1の逆平行リンク 機構の関節 3 0を挟む角度と第 2の逆平行リンク機構の関節 3 0を挟む角度は常 に等しく、 肘リンク 3 2は平行リンク式アーム 2 2が如何なる姿勢であっても駆 動アーム 2 7と第 1の前部アーム 3 3の挟角を二等分する。
従って、 駆動アーム 2 7が駆動軸 2 6の第 2の駆動軸を介して反時計方向へ旋 回すると、肘リンク 3 2は第 1の平行リンク機構の働きにより旋回しないため、 第 1の前部アーム 3 3が姿勢保持リンク 2 4の働きにより時計方向に同じ角度だ け旋回する。 その結果、 ウェハ保持体 2 3は肩リンク 2 5上を直進し、 その延長 線上に配置された目的の位置へ半導体ゥエノ、Wを正確に搬送することができる。 次に、 図 1 1を参照しながら動作について説明する。 図 1 1の (a ) に示すよ うに姿勢保持リンク 2 4の働きで平行リンク式アーム 2 2が支持体 (図 1 1では 省略してある) の右端まで移動した状態から駆動軸 2 6の第 2の駆動軸が駆動し て平行リンク式アーム 2 2の駆動アーム 2 7及び従動アーム 2 9が反時計方向へ 旋回すると、 姿勢保持リンク 2 4の働きで第 1、 第 2の前部アーム 3 3、 3 4が 第 2のリンク 3 2を基準に時計方向へ旋回し、 その結果ウェハ保持体 2 3は同図 の (a ) の位置から左方へ直進し、 同図の (b ) に示すように第 1、 第 2の前部 アーム 3 3、 3 4が駆動アーム 2 7及び従動アーム 2 9上で重なると共にウェハ 保持体 2 3の関節 3 5、 3 6が駆動軸 2 6、 関節 2 8上に重なる。
弓 Iき続き駆動軸 1が駆動すると、 駆動アーム 2 7、 従動アーム 2 9は反時計方 向へ旋回し、 この間も姿勢保持リンクの働きで第 1、 第 2の前部アーム 3 3、 3 4は時計方向へそれぞれ旋回し、 ウェハ保持体 2 3はそのまま直進し、 同図の
( c ) に示すように肩リンク 2 5上を直進して通過し、 最終的には同図の (d ) に示す半導体ウェハ Wの受け渡し位置まで直進し、 半導体ウェハの受け渡しを行 う。
以上説明したように第 4の実施形態によれば、 平行リンク式アーム 2 2の駆動 アーム 2 7と肘リンク 3 2と第 1の前部アーム 3 3とを互いに姿勢保持リンク 2 4によつて連結したため、 高温で腐食し易い環境下であつてもゥェハ保持体
2 3の動作は安定し、 しかもウェハ保持体 2 3は常に肩リンク 2 5上を直進し、 常に一定の姿勢を保持して目的の位置まで半導体ウェハ Wを正確且つ確実に搬送 することができる。
また、 本発明のフロッグレッダ式アームを有する搬送装置は図 1 2〜図 1 5に 示すように構成することもでき、 これらの搬送装置においても第 1、 第 2の実施 形態と同様の作用効果を期することができる。
図 1 2に示す搬送装置は、 同軸構造の駆動軸 3 1と、 フロッグレツグ式アーム 3 2と、 ウェハ保持体 3 3と、 ウェハ保持体 3 3とフロッグレツグ式アーム 3 2 を連結する姿勢保持リンク 3 4を備えている。 フロッグレッダ式アーム 3 2は、 第 1、 第 2の駆動アーム 3 2 A、 3 2 B及び第 1、 第 2の前部アーム 3 2 C、
3 2 Dを有し、 関節 3 5を介してウェハ保持体 3 3と連結されている。
上記姿勢保持リンク 3 4は、 図 1 2に示すように、 一端部が第 1の前部アーム 3 2 Cに軸 3 4 Aを介して連結された第 1リンク 3 4 Bと、 第 1リンク 3 4 Bの 他端部に軸 3 4 Cを介して一端部が連結され且つウェハ保持体 3 3の関節 3 5の 長手方向延長線上に他端部が軸 3 4 Dを介して連結された第 2リンク 3 4 Eと、 第 2リンク 3 4 Eの途中に配置された軸 3 4 Fに一端が連結された第 3リンク 3 4 Gと、 第 3リンク 3 4 Gの他端部に軸 3 4 Hを介して一端部力く連結された、 第 2リンク 3 4 Eと平行する第 4リンク 3 4 Iと、 第 4リンク 3 4 Iの他端部に 軸 3 4 Jを介して一端部力く連結され且つウェハ保持体 3 3に軸 3 4 Dを介して他 端部が連結された、 第 3リンク 3 4 Gと平行する第 5リンク 3 4 Kとを有してい る。 また、 第 4リンク 3 4 Iは第 2の前部アーム 3 2 Dと軸 3 4 Lを介して連結 されている。 そして、 各リンクはいずれも各軸において回転可能になっている。 第 1リンク 3 4 Bの長さと軸 3 4 Dの関節 3 5間の長さは等しくなるように設 定され、 第 2リンク 3 4 Eの長さと軸 3 4 Aと関節 3 5間の長さとは等しくなる ように設定され、 軸 3 4 A、 軸 3 4 C、 軸 3 4 D、 関節 3 5で第 1の逆平行リン ク機構が構成されている。 また、 軸 3 4 Dと関節 3 5間の長さと軸 3 4 Lと第 2 リンク 3 4 Eの仮想点 3 6間の長さ (これら両者を結ぶ破線は第 5リンク 3 4 K と平行になっている) は等しくなるように設定され、 軸 3 4 Dと仮想点 3 6間の 長さと軸 3 4 Lと関節 3 5間の長さとは等しくなるように設定され、 軸 3 4 D、 仮想点 3 6、 $由 3 4 L、 関節 3 5で第 2の逆平行リンク機構が構成されている。 更に、 第 1リンク 3 4 Bの長さに対する第 2リンク 3 4 Eの長さの比と、 軸 3 4 Dと仮想点 3 6間の長さに対する軸 3 4 Dと関節 3 5間の長さの比は等しく なるように設定されている。 そして、 第 2リンク 3 4 Eと軸 3 4 Dと関節 3 5の 結線とで作る角は第 1、 第 2の逆平行リ ンク機構で共有し、 フロッグレツグ式ァ —ム 3 2が如何なる伸縮状態にあっても第 1、 第 2の逆平行リンク機構は互いに 相似関係にあり、 第 1の逆平行リンク機構の関節 3 5における頂角と第 2の逆平 行リンク機構の関節 3 5における頂角とが常に等しい。 従って、 ウェハ保持体
3 3の軸 3 4 Dと関節 3 5の結泉は常に第 1、 第 2前部アーム 3 2 C、 3 2 Dで 挟む角を二等分し、 ウェハ保持体 3 3は常に左右に振れることなく一定の姿勢を 保持する。
図 1 3に示す搬送装置は、 同軸構造の駆動軸 4 1と、 フロッグレツグ式アーム
4 2と、 ウェハ保持体 4 3と、 ウェハ保持体 4 3とフロッグレッダ式アーム 4 2 を連結する姿勢保持リンク 4 4を備えている。 フロッグレツグ式アーム 4 2は、 第 1、 第 2の駆動アーム 4 2 A、 4 2 8及び第1、 第 2の前部アーム 4 2 C、
4 2 Dを有し、 関節 4 5を介してウェハ保持体 4 3と連結されている。
上記姿勢保持リンク 4 4は、 図 1 3に示すように、 一端部が第 1の前部アーム 4 2 Cに軸 4 4 Aを介して連結された第 1リンク 4 4 Bと、 第 1リンク 4 4 Bの 他端部に軸 4 4 Cを介して一端部力連結された第 2リンク 4 4 Dと、 第 2リンク 4 4 Dの他端部に軸 4 4 Eを介して一端部が連結され且つ他端部が関節 4 5に連 結された第 3リンク 4 4 F (ウェハ保持体の基端部の軸芯上で関節 4 5より基端 部に基端部の一部としてあるいはその延長部に一体化している) と、 第 1リンク 4 4 Bの他端部に軸 4 4 Cを介して一端部が連結された第 4リンク 4 4 Gと、 第 4リンク 4 4 Gの他端部に軸 4 4 Hを介して一端部力連結され且つ第 2の前部ァ —ム 4 2 Dに配置された軸 4 4 Iに他端部が連結された第 5リンク 4 4 Jと、 第 4、 第 5リンク 4 4 G、 4 4 Jの軸 4 4 Hに一端部が連結され且つウェハ保持体 4 3の関節 4 5の長手方向延長線上に配置された軸 4 4 Kに他端部力連結された 第 6 リンク 4 4 Lとを有している。 そして、 各リンクはいずれも各軸において回-¾可食 こなっている。
第 1リンク 4 4 Bの長さと第 3リンク 4 4 Fの長さは等しくなるように設定さ れ、 第 2リンク 4 4 Dの長さと第 1の前部アーム 4 2 Cの軸 4 4 Aと関節 4 5間 の長さとは等しくなるように設定され、 軸 4 4 A、 軸 4 4 C、 軸 4 4 E、 関節 4 5で第 1の逆平行リンク機構が構成されている。 また、 第 2リンク 4 4 Dの長 さと第 5リンク 4 4 Lの長さは等しくなるように設定され、 第 4リンク 4 4 Gの 長さとウェハ保持体 4 3の基端部の軸 4 4 Eと軸 4 4 K間の長さは等しくなるよ うに設定され、 軸 4 4 C、 軸 4 4 E、 軸 4 4 K、 軸 4 4 Ηで第 2の逆平行リンク 機構が構成されている。 更に、 第 1リンク 4 4 Βの長さに対する第 2リンク
4 4 Dの長さの比と、 第 2リンク 4 4 Dの長さに対する第 4リンク 4 4 Gの長さ の比は等しくなるように設定されている。 そして、 第 2リンク 4 4 Dと、 軸
4 4 Εと関節 4 5の結線で作る角は第 1、 第 2の逆平行リンク機構で共有し、 フ 口ッグレッグ式ァーム 4 2が如何なる伸縮状態にあっても第 1、 第 2の逆平行リ ンク機構は互いに相似関係にある。 また、 軸 4 4 I、 軸 4 4 Η、 軸 4 4 Κ、 関節 4 5で形成する四角形は平行四辺形になるように設定されている。 このことから、 第 1の逆平行リンク機構の関節 3 5における頂角と第 2の逆平行リンク機構の軸
4 4 Κにおける頂角とが常に等しく、 しかもこの軸 4 4 Κにおける頂角と第 2の 前部アーム 4 2 Dと軸 4 4 Εと関節 4 5の結線で作る角とが等しくなり、 第 2の 前部アーム 4 2 Dと軸 4 4 Εと関節 4 5の結線で作る角と第 1の逆平行リンク機 構の関節 4 5における頂角とが等しくなる。 従って、 軸 4 4 Εと軸 4 4 Κの結線 は第 1の前部アーム 4 2 Cと第 2の前部アーム 4 2 Dのなす角を常に二等分し、 ウェハ保持体 4 3は常に左右に振れることなく一定の姿勢を保持する。
図 1 4に示す搬送装置は、 同軸構造の駆動軸 5 1と、 フロッグレツグ式アーム
5 2と、 ウェハ保持体 5 3と、 ウェハ保持体 5 3とフロッグレツグ式アーム 5 2 を連結する姿勢保持リンク 5 4を備えている。 フロッグレッダ式アーム 5 2は、 第 1、 第 2の駆動アーム 5 2 Α、 5 2 8及び第1、 第 2の前部アーム 5 2 C、
5 2 Dを有し、 ウェハ保持体 5 3基端部の長手方向と直交する方向に並設された 関節 5 5、 5 6を介してウェハ保持体 5 3と連結されている。 上記姿勢保持リ ンク 5 4は、 図 1 4に示すように、 一端部が第 1の前部アーム 5 2 Cに固定軸 5 4 Aを介して連結された第 1固定リンク 5 4 Bと、 第 1固定リ ンク 5 4 Bの他端部に軸 5 4 Cを介して一端部が連結された第 1リンク 5 4 Dと、 第 1リンク 5 4 Dの他端部に軸 5 4 Eを介して一端部力連結され且つ関節 5 5、 5 6と二等辺三角形を形成するウェハ保持体 5 3の基端部に配置された軸 5 4 F を介して他端部が連結された第 2 リ ンク 5 4 Gと、 第 2 リ ンク 5 4 Gに配置され た固定軸 5 4 Hに連結された第 2固定リンク 5 4 Iと、 第 2固定リンク 5 4 Iの 他端部に軸 5 4 Jを介して一端部が連結された第 3リンク 5 4 Kと、 第 3リンク 5 4 Kの他端部に軸 5 4 Lを介して一端部が連結され且つ第 2の前部アーム 5 2 Dの固定軸 5 4 Mに他端部が連結された第 3固定リンク 5 4 Nとを有してい る。
第 1リンク 5 4 Dの長さとウェハ保持体における軸 5 4 Fと関節 5 5間の長さ は等しくなるように設定され、 第 2リンク 5 4 Gの長さと軸 5 4 Cと関節 5 5の 磁泉で示した結線の長さは等しくなるように設定され、軸 5 4 C、 軸 5 4 E、 軸 5 4 F、 関節 5 5で第 1の逆平行リンク機構が構成されている。 また、 第 3 リ ン ク 5 4 Kの長さと軸 5 4 Fと関節 5 6間の破線で示した結線の長さは等しくなる ように設定され、 軸 5 4 Fと軸 5 4 J間の破線で示した結線の長さと軸 5 4 Lと 関節 5 6間の破線で示した結線の長さは等しくなるように設定され、 軸 5 4 F、 軸 5 4 J、 軸 5 4 L、 関節 5 6で第 2の逆平行リンク機構が構成されている。 更 に、 第 1リンク 5 4 Dの長さに対する第 2リンク 5 4 Gの長さの比と、 軸 5 4 F と軸 5 4 J間の長さに対する第 3リンク 5 4 Kの長さの比は等しくなるように設 定されている。 この場合、 第 1、 第 2の逆平行リンク機構で共有する角はないが、 第 1の逆平行リ ンク機構の軸 5 4 Fにおける頂角と第 2の逆平行リンク機構の軸 5 4 Fにおける頂角とが常に等しくなるように第 2固定リンク 5 4 Iの長さと関 節 5 5、 5 6間の長さが設定されているため、 フロッグレッグ式アーム 5 2が如 何なる伸縮状態にあっても第 1、 第 2の逆平行リンク機構は互いに相似関係にあ る。 このことから、 第 1の逆平行リンク機構の関節 5 5における頂角と第 2の逆 平行リンク機構の関節 5 6における頂角と力常に等しくなる。 従って、 この場合 にもフロッグレッグ式ァーム 5 4の動作時にゥェハ保持体 5 3は常に左右に振れ ることなく一定の姿勢を保持する。
図 1 5に示す搬送装置は、 同軸構造の駆動軸 6 1と、 フロッグレッダ式アーム 6 2と、 ウェハ保持体 6 3と、 ウェハ保持体 6 3とフロッグレツグ式アーム 6 2 を連結する姿勢保持リンク 6 4を備えている。 フロッグレッダ式アーム 6 2は、 第 1、 第 2の駆動アーム 6 2 A、 6 2 8及び第1、 第 2の前部アーム 6 2 C、 6 2 Dを有し、 ウェハ保持体 6 3基端部の長手方向と直交する方向に並設された 関節 6 5、 6 6を介してウェハ保持体 6 3と連結されている。
上記姿勢保持リ ンク 6 4は、 図 1 5に示すように、 一端部が関節 6 5に連結さ れた第 1 リンク 6 4 A (第 1の前部アーム 6 2 Cの延長部) と、 第 1リンク 6 4 Aの他端部に軸 6 4 Bを介して一端部が連結された第 2 リ ンク 6 4 Cと、 第 2 リ ンク 6 4 Cの他端部に軸 6 4 Dを介して連結され且つウェハ保樹本 6 3の軸 6 4 Eに一端部が連結された第 3 リンク 6 4 Fと、 第 3リンク 6 4 Fの他端部に 軸 6 4 Gを介して一端部力連結され且つ他端部が軸 6 4 Hを介して第 2の前部ァ ーム 6 2 Dに連結された第 4リンク 6 4 I とを有している。 軸 6 4 Eは関節 6 5、 6 6の延長線上に配置されている。 そして、 各リンクはいずれも各軸において回 転可能になっている。
第 1リンク 6 4 Aの長さと第 3リンク 6 4 Fの軸 6 4 Dと軸 6 4 E間の長さは 等しくなるように設定され、 第 2リンク 6 4 Cの長さと関節 6 5と軸 6 4 E間の 長さとは等しくなるように設定され、 関節 6 5、 軸 6 4 B、 軸 6 4 D、 軸 6 4 E で第 1の逆平行リンク機構が構成されている。 また、 関節 6 6と軸 6 4 E間の長 さと第 4リンク 6 4 Iの長さは等しくなるように設定され、 第 3リンク 6 4 Fの 長さと第 2の前部アーム 6 2 Dの関節 6 6と軸 6 4 H間の長さは等しくなるよう に設定され、 関節 6 6、 軸 6 4 E、 軸 6 4 G、 軸 6 4 Hで第 2の逆平行リンク機 構が構成されている。 更に、 第 1 リンク 6 4 Aの長さに対する第 2リンク 6 4 C の長さの比と、 第 4リンク 6 4 Iの長さに対する第 3リンク 6 4 Fの長さの比は 等しくなるように設定されている。 そして、 関節 6 6と軸 6 4 E間の結線と第 3 リンク 6 4 Fで作る角は第 1、 第 2の逆平行リンク機構で共有し、 フロッグレツ グ式アーム 4 2が如何なる伸縮状態にあっても第 1、 第 2の逆平行リンク機構は 互いに相似関係にある。 このことから、 第 1の逆平行リンク機構の関節 6 5にお ける頂角と第 2の逆平行リンク機構の関節 6 6における頂角とが常に等しくなる。 従って、 この場合にもウェハ保持体 6 3は常に左右に振れることなく一定の姿勢 を保持する。
また、 本発明の平行リンク式アームを有する搬送装置は図 1 6、 図 1 7に示す ように構成することもでき、 これらの搬送装置においても第 4の実施形態と同様 の作用効果を期することができる。
図 1 6に示す搬送装置は、 支持体 (図示せず) の駆動軸 7 1に基端部が支持さ れた平行リンク式アーム 7 3と、 この平行リンク式アーム 7 3の先端部に連結さ れた、 半導体ウェハ Wを保持するウェハ保持体 7 4と、 平行リンク式アーム 7 3 の姿勢を規制し常にウェハ保持体 7 4を一定の姿勢に保持する姿勢保持リンク 7 5とを備えている。 この搬送装置ではウェハ保持体 7 4と姿勢保持リンク 7 5 の取付位置を異にする以外は図 5に示す搬送装置と同様に構成されている。 ゥェ ハ保持体 7 4は 2枚の半導体ウェハを保持するタイプで、 平行リンク式アーム 7 3での支持形態は図 5に示す場合と変わらない。
上記平行リンク式アーム 7 3は、 図 1 6に示すように、 肩リンク 7 6、 駆動ァ ーム 7 7、 従動アーム 7 8、 肘リンク 7 9、 第 1の前部アーム 8 0及び第 2の前 部アーム 8 1とを備えている。 8 2〜8 5はいずれも関節である。
図 1 6に示す姿勢保持リンク 7 5は、 駆動アーム 7 7の延長端部に軸 7 5 Aを 介して一端部が連結された第 1リンク 7 5 Bと、 第 1リンク 7 5 Bの他端部に軸 7 5 Cを介して連結された第 2リンク 7 5 Dと、 第 2リンク 7 5 Dの一端部に軸
7 5 Eを介して一端部が連結され且つ他端部が軸 7 5 Fを介して第 1の前部ァー ム 8 0に連結された第 3リンク 7 5 Gとを有している。 また、 第 2リンク 7 5 C の他端部には肘リンク 7 9の延長端部に軸 7 5 Hを介して連結されている。
上記姿勢保持リンク 7 5のうち、 第 1リンク 7 5 Bの長さと肘リンク 7 9の関 節 8 3と軸 7 5 H間の長さは等しくなるように設定され、 駆動アーム 7 7の関節
8 3と軸 7 5 A間の長さと第 2リンク 7 5 Dの軸 7 5 Cと軸 7 5 H間の長さは等 しくなるように設定され、 軸 7 5 A、 軸 7 5 C、 軸 7 5 H、 関節 8 3で第 1の逆 平行リンク機構が構成されている。 また、第 3リンク 7 5 Gの長さと肘リンク
7 9の関節 8 3と軸 7 5 H間の長さは等しくなるように設定され、 第 2リンク 7 5 Dの長さと第 1の前部アーム 8 0の関節 8 3と軸 7 5 F間の長さは等しくな るように設定され、 軸 7 5ト I、 軸 7 5 E、 軸 7 5 F、 関節 8 3で第 2の逆平行リ ンク機構力く構成されている。 更に、 第 1 リ ンク 7 5 Bの長さに対する駆動アーム 7 7の関節 8 3と軸 7 5 A間の長さの比と、 第 2リンク 7 5 Dの長さに対する第 3リンク 7 5 Gの長さの比は等しくなるように設定されている。 そして、 第 1、 第 2の逆平行リンク機構は、 軸 7 5 Hを挟む角度を共有しているため、 対応する 角度が全て等しくなり、 両者は相似形になっている。 従って、 駆動アーム 7 7力く 駆動軸 7 1の第 2の駆動軸を介して反時計方向へ旋回すると、 ウェハ保持体 7 4 は姿勢保持リンク 7 5を介して肩リンク 7 6上を直進し、 その延長線上に配置さ れた目的の位置へ半導体ゥエノ、Wを正確に搬送することができる。
また、 図 1 7に示す搬送装置と図 1 6に示す搬送装置において同一部分または 相当する部分には 2 0番大きい数字を付してその説明を省略し、 主として姿勢保 持リンク 9 5についてのみ説明する。 この平行リンク式アーム 9 3の場合には、 第 1、 第 2の前部アーム 1 0 0、 1 0 1は駆動ァ一ム 9 7及び従動アーム 9 8と は直接連結されず、 肘リンク 9 9において右方へ偏倚した位置で肘リンク 9 9に 対して関節 1 0 6、 1 0 7を介して連結されている。
図 1 7に示す姿勢保持リンク 9 5は、 駆動アーム 9 7の延長端部に軸 9 5 Aを 介して一端部が連結された第 1 リ ンク 9 5 Bと、 第 1 リ ンク 9 5 Bの他端部に軸 9 5 Cを介して一端部が連結された第 2 リンク 9 5 Dと、 第 1 リンク 9 5 Bの他 端部に軸 9 5 Cを介して一端部が連結された第 3リンク 9 5 Eとを有している。 また、 第 2リンク 9 5 Dの他端部は第 2の前部アーム 1 0 1に軸 9 5 Fを介して 連結され、 第 3リンク 9 5 Eの他端部は肘リンク 9 9に関節 1 0 2を介して連結 されている。
そして、 第 1リンク 9 5 Bの長さと肘リンク 9 9の関節 1 0 2と関節 1 0 3間 の長さは等しくなるように設定され、 第 3リンク 9 5 Eの長さと駆動アーム 9 7 の関節 1 0 3と軸 9 5 A間の長さは等しくなるように設定され、 軸 9 5 A、 軸 9 5 C、 関節 1 0 2、 関節 1 0 3で第 1の逆平行リンク機構が構成されている。 また、 第 2リンク 9 5 Dの長さと肘リンク 9 9の関節 1 0 2と関節 1 0 6間の長 さは等しくなるように設定され、 第 3リンク 9 5 Eの長さと第 2の前部アーム 1 0 1の関節 1 0 6と軸 9 5 F間の長さは等しくなるように設定され、 軸 9 5 C、 軸 9 5 F、 関節 1 0 6、 関節 1 0 2で第 2の逆平行リンク機構が構成されている。 更に、 第 1リンク 9 5 Bの長さに対する第 3リンク 9 5 Eの長さの比と、 第 3リ ンク 9 5 Eの長さに対する第 2リンク 9 5 Dの長さの比は等しくなるように設定 されている。 そして、 第 1、 第 2の逆平行リンク機構は、 関節 1 0 2を挟む角度 を共有しているため、 対応する角度が全て等しくなり、 両者は相似形になってい る。 従って、 駆動アーム 9 7が駆動軸 9 1の第 2の,駆動軸を介して反時計方向へ 旋回すると、 ウェハ保持体 9 4は姿勢保持リンク 9 5を介して肩リンク 9 6上を 直進し、 その延長線上に配置された目的の位置へ半導体ウェハ Wを正確に搬送す ることができる。
尚、 本発明のフロッグレッグ式ァ一ムまたは平行リ ンク式アームを有する搬送 装置は上記各実施形態に何等制限されるものではなく、 2つの逆平行リンク機構 を姿勢保持リンクとして有するものであれば、 全て本発明に包含される。 また、 2つの逆平行リンク機構を明確に有していなくても、 図 1 2や図 1 4に示した搬 送装置のように他の平行リンク機構や屈曲した固定リンクにより 2点間の距離が 一定に保持され、 そこに仮想線を引くことによって 2つの逆平行リンク機構が現 れ、 それを姿勢保持リンクとして有するもであれば、 全て本発明に包含される。

Claims

請 求 の 範 囲
1. 支持体と、
前記支持体で支持された第 1、 第 2の軸と、
前記第 1、 第 2の軸に基端部で連結されたフロッグレッグ式ァ一ムと、 前記フ口ッグレツグ式アームの先端部に連結された、 被搬送体を保持する保持 体と、
を備え、
前記フ口ッグレッグ式ァ一ム 、
前記第 1の軸に基端部が軸支された回転可能な第 1の駆動アームと、 前記第 2の軸に基端部が軸支された回転可能な第 2の駆動ァームと、 前記第 1の,駆動アームの先端部に第 1の関節を介して基端部が軸支された回転 可能な第 1の前部アームと、
前記第 2の駆動アームの先端部に第 2の関節を介して基端部が軸支された回転 可能な第 2の前部ァームとを備え、
前記保持体は、 前記第 1、 第 2の前部アームそれぞれの先端部に第 3、 第 4の 関節を介して軸支されてなり、 且つ、
前記第 1、 第 2の前部アームと前記保持体とを互いに連結する 2つの相似な逆 平行リンク機構からなる姿勢保持リンクを設け、 この姿勢保持リンクを介して前 記第 1、 第 2の前部ァ一ムに対する上記保持体の回転を規制する
ことを特徴とする搬送装置。
2. 前記第 1の軸と第 2の軸は軸芯を共有すると共に前記第 3の関節と前記 第 4の関節は軸芯を共有し、
前記第 1、 第 2の駆動アーム及び前記第 1、 第 2の前部アームの長さは全て等 しく、
前記第 1の駆動アームと対向するリンクを設け、 このリンクの両端部を第 1の 前部アーム及び第 2の駆動アームにそれぞれ連結して平行リンク機構を構成し、 前記平行リンク機構は、 同軸構造の前記第 3、 第 4の関節が同軸構造の前記第 1、 第 2の軸と重なる位置とは別の位置で思案点を作るようにした ことを特徴とする請求項 1に記載のフロッグレッグタィプの搬送装置。
3. 支持体と、
前記支持体で支持された軸芯を共有する第 1、 第 2の軸と、
前記第 1、 第 2の車由に基端部で連結されたフロッグレツグ式アームと、 前記フ口ッグレツグ式アームの先端部に連結された、 被搬送体を保持する保持 体とを備え、
前記フロッグレッグ式ァ一ムは、
前記第 1の軸に基端部が軸支された回転可能な第 1の駆動アームと、
前記第 2の軸に基端部カ軸支された回転可能な第 2の駆動アームと、
前記第 1の駆動アームの先端部に第 1の関節を介して基端部が軸支された回転 可能な第 1の前部アームと、
前記第 2の駆動アームと平行に対向し両端部が前記前部アーム及び前記第 2の 駆動アームにそれぞれ連結された 2本のリンクとを備え、
前記第 1、 第 2の駆動アーム、 前言己前部アーム及び前記 2本のリンクそれぞれ の長さを等しく設定すると共にこれら 3本の前記アーム及び前記 2本のリンクで 2つの平行リンク機構を構成し、
前記 2つの平行リンク機構は互いに別の位置で思案点を作ると共に前記第 1、 第 2の駆動アーム及び前記前部アームは菱形の 3辺を構成し、
前記保持体は、 前記前部アームの先端部に第 2の関節を介して軸支されてなり、 且つ、
前記前部ァ一ムと前記第 2の駆動ァ一ムの先端部と前記保持体とを互いに連結 する 2つの相似な逆平行リンク機構からなる姿勢保持リンクを設け、 この姿勢保 持リンクを介して前記前部ァームに対する前記保持体の回転を規制する
ことを特徴とする搬送装置。
4. 前記保持体は両端部に被搬送体の保持部を有し、 前記保持部の中間に関 節を配置することを特徵とする請求項 2または請求項 3に記載のフロッグレッグ タィプの搬送装置。
5. 支謝本と、 前言己支持体で基端部側が支持された平行リンク式アームと、
前記平行リンク式アームの先端部側に連結された、 被搬送体を保持する前記保 持体とを備え、
前記平行リンク式アームは、
前記支持体に固定された第 1のリンクと、
前記第 1のリンクの一端部を貫通する駆動軸に基端部が軸支された回転可能な 駆動アームと、
前記第 1のリンクの他端部に第 1の関節を介して基端部が軸支された回転可能 な従動アームと、
前記駆動アームと前記従動アームそれぞれの先端部に互 L、に第 2、 第 3の関節 を介して軸支された回転可能な第 2のリンクと、
前記第 2のリンクに基端部がそれぞれ軸支された回転可能な第 1、 第 2の前部 アームとを備え、
前記保持体は、 前記第 1、 第 2の前部アームそれぞれの先端部に第 4、 第 5の 関節を介して軸支されてなり、且つ、
前記駆動アームまたは前記従動アームと、 前記第 2のリンクと、 前記第 1の前 部アームまたは前記第 2の前部アームとを連結する 2つの相似な逆平行リンク機 構からなる姿勢保持リンクを設け、 この姿勢保持リンクを介して前記保持体を直 進させる
ことを特徴とする搬送装置。
PCT/JP1999/006358 1998-11-17 1999-11-15 Systeme transporteur WO2000029176A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE69940220T DE69940220D1 (de) 1998-11-17 1999-11-15 Tragvorrichtung
EP99972143A EP1207025B1 (en) 1998-11-17 1999-11-15 Carrying device
US09/856,097 US6450757B1 (en) 1998-11-17 1999-11-15 Conveyor system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP10/343585 1998-11-17
JP10343585A JP2000150617A (ja) 1998-11-17 1998-11-17 搬送装置

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US09/856,097 A-371-Of-International US6450757B1 (en) 1998-11-17 1999-11-15 Conveyor system
US10/218,997 Continuation US6699003B2 (en) 1998-11-17 2002-08-15 Carrying device

Publications (1)

Publication Number Publication Date
WO2000029176A1 true WO2000029176A1 (fr) 2000-05-25

Family

ID=18362674

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP1999/006358 WO2000029176A1 (fr) 1998-11-17 1999-11-15 Systeme transporteur

Country Status (7)

Country Link
US (2) US6450757B1 (ja)
EP (1) EP1207025B1 (ja)
JP (1) JP2000150617A (ja)
KR (1) KR100617504B1 (ja)
DE (1) DE69940220D1 (ja)
TW (1) TW444243B (ja)
WO (1) WO2000029176A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1316394A2 (en) * 2001-12-03 2003-06-04 Teijin Seiki Co., Ltd. A robot arm composed of two parallelogramms having a good resistance to deformation

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002200583A (ja) * 2000-06-15 2002-07-16 Jel:Kk 搬送アーム
JP4489999B2 (ja) * 2000-10-24 2010-06-23 株式会社アルバック 搬送装置及び真空処理装置
JP4489998B2 (ja) * 2001-02-06 2010-06-23 株式会社アルバック 搬送装置及び真空処理装置
TW511220B (en) * 2000-10-24 2002-11-21 Ulvac Corp Carrying device and vacuum processing apparatus using the same
JP4995295B2 (ja) * 2001-04-05 2012-08-08 ナブテスコ株式会社 ロボットアーム
JP4628602B2 (ja) * 2001-04-05 2011-02-09 ナブテスコ株式会社 ロボットアーム
KR100428781B1 (ko) * 2001-04-16 2004-04-27 삼성전자주식회사 웨이퍼 이송 장치 및 그 이송 방법
JP4615760B2 (ja) * 2001-04-26 2011-01-19 株式会社ダイヘン アーム動作機構およびこれを備えた産業用ロボット
JP4757404B2 (ja) * 2001-06-04 2011-08-24 株式会社ジェーイーエル 搬送アーム
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
KR100471088B1 (ko) * 2003-02-07 2005-03-10 삼성전자주식회사 이송장치
TW200505606A (en) * 2003-05-20 2005-02-16 Ishikawajima Harima Heavy Ind Panel transporting device
JP4411025B2 (ja) * 2003-07-11 2010-02-10 株式会社ダイヘン 2アーム式搬送ロボット
EP1724218A4 (en) * 2004-05-10 2008-09-10 Ihi Corp DISK CARRYING DEVICE
WO2006009170A1 (ja) * 2004-07-20 2006-01-26 Kawasaki Jukogyo Kabushiki Kaisha ロボットのアーム構造
CN101262985B (zh) * 2005-09-16 2011-12-14 株式会社爱发科 输送机构、输送装置及真空处理装置
US7785060B2 (en) * 2006-10-27 2010-08-31 Applied Materials, Inc. Multi-directional mechanical scanning in an ion implanter
JP4770856B2 (ja) * 2008-03-21 2011-09-14 トヨタ自動車株式会社 移送用ロボット
JP4833266B2 (ja) * 2008-08-22 2011-12-07 ナブテスコ株式会社 アーム装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
DE102009025262A1 (de) * 2009-06-17 2010-12-30 Thomas Beetz Bewegungsvorrichtung, Anordnung und Herstellungsanlage
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5525339B2 (ja) * 2010-06-10 2014-06-18 ナブテスコ株式会社 ロボットアーム
JP5550197B2 (ja) * 2010-08-09 2014-07-16 株式会社アルバック 搬送装置
JP5995404B2 (ja) 2011-01-26 2016-09-21 ナブテスコ株式会社 ウエハ搬送ロボット
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5993873B2 (ja) * 2011-12-15 2016-09-14 タツモ株式会社 ウエハ搬送ロボット
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
WO2013154863A1 (en) * 2012-04-12 2013-10-17 Applied Materials, Inc Robot systems, apparatus, and methods having independently rotatable waists
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015099858A2 (en) * 2013-09-30 2015-07-02 Board Of Regents, The University Of Texas System Upper-body robotic exoskeleton
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9370863B2 (en) * 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR20150142361A (ko) * 2014-06-11 2015-12-22 삼성전자주식회사 링크 구조체
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
DE102016002925A1 (de) * 2016-03-07 2017-09-07 Alfatec Gmbh Fördersysteme Teleskop; Verfahren zur Teleskopierung mindestens einer Last mittels eines Teleskopes
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
USD793352S1 (en) * 2016-07-11 2017-08-01 Asm Ip Holding B.V. Getter plate
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10453725B2 (en) * 2017-09-19 2019-10-22 Applied Materials, Inc. Dual-blade robot including vertically offset horizontally overlapping frog-leg linkages and systems and methods including same
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05124418A (ja) * 1991-11-05 1993-05-21 Mazda Motor Corp 車両用空調装置
JPH0871965A (ja) * 1994-08-31 1996-03-19 Sony Corp 移載装置
JPH08162516A (ja) * 1994-12-09 1996-06-21 Dainippon Screen Mfg Co Ltd 基板搬送装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3255893A (en) * 1963-07-10 1966-06-14 Gen Mills Inc Manipulator boom system
CH637869A5 (de) * 1979-06-19 1983-08-31 Microbo Ag Handhabungseinrichtung, insbesondere fuer industrieroboter.
JPS5810491A (ja) * 1981-07-08 1983-01-21 株式会社東芝 ロボツト装置
JP2531261B2 (ja) * 1988-07-08 1996-09-04 富士電機株式会社 搬送装置
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
JP2972008B2 (ja) * 1991-11-07 1999-11-08 東京エレクトロン株式会社 搬送アーム
JP3113729B2 (ja) 1992-04-13 2000-12-04 日本真空技術株式会社 同軸駆動部を有する平行リンクロボット
JP2536454B2 (ja) * 1994-05-20 1996-09-18 日本電気株式会社 搬送装置
SE508890C2 (sv) * 1996-03-14 1998-11-16 Asea Brown Boveri Manipulator
JPH09283588A (ja) 1996-04-08 1997-10-31 Hitachi Ltd 基板搬送装置及び基板の搬送方法
JPH09323276A (ja) 1996-06-03 1997-12-16 Toyota Autom Loom Works Ltd 搬送装置及びロボットアーム
JPH10163295A (ja) 1996-11-26 1998-06-19 Tokyo Electron Ltd ウエハ搬送装置
JPH1138909A (ja) * 1997-07-18 1999-02-12 Toa Resin Kk 看 板

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05124418A (ja) * 1991-11-05 1993-05-21 Mazda Motor Corp 車両用空調装置
JPH0871965A (ja) * 1994-08-31 1996-03-19 Sony Corp 移載装置
JPH08162516A (ja) * 1994-12-09 1996-06-21 Dainippon Screen Mfg Co Ltd 基板搬送装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1207025A4 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1316394A2 (en) * 2001-12-03 2003-06-04 Teijin Seiki Co., Ltd. A robot arm composed of two parallelogramms having a good resistance to deformation
EP1316394A3 (en) * 2001-12-03 2003-08-27 Teijin Seiki Co., Ltd. A robot arm composed of two parallelogramms having a good resistance to deformation
US7001139B2 (en) 2001-12-03 2006-02-21 Teijin Seiki Co., Ltd. Robot arm mechanism
KR100719354B1 (ko) * 2001-12-03 2007-05-17 티에스 코포레이션 가부시키가이샤 로봇 아암 기구
US7244091B2 (en) 2001-12-03 2007-07-17 Teijin Seiki Co., Ltd. Robot arm mechanism

Also Published As

Publication number Publication date
US6450757B1 (en) 2002-09-17
US6699003B2 (en) 2004-03-02
EP1207025B1 (en) 2008-12-31
EP1207025A4 (en) 2004-05-26
EP1207025A1 (en) 2002-05-22
KR20010089466A (ko) 2001-10-06
DE69940220D1 (de) 2009-02-12
JP2000150617A (ja) 2000-05-30
TW444243B (en) 2001-07-01
US20030012632A1 (en) 2003-01-16
KR100617504B1 (ko) 2006-09-01

Similar Documents

Publication Publication Date Title
WO2000029176A1 (fr) Systeme transporteur
US5431529A (en) Articulated arm transfer device
US4805477A (en) Multiple joint robot part
JP3030667B2 (ja) 搬送装置
KR970004947B1 (ko) 핸들링장치
JP2008055599A (ja) 2つの基板ホルダを備えた基板移送装置
KR100625585B1 (ko) 로보트 암기구
JP2003039352A (ja) ロボット
JP2002166376A (ja) 基板搬送用ロボット
EP1232838A1 (en) Frog-leg type transfer system
JP2000042954A (ja) 搬送用ロボット装置
JP2004148449A (ja) 多関節マニピュレータ
KR19990072165A (ko) 관절아암을 구비한 물체이송장치
KR100505960B1 (ko) 관절 아암을 구비한 기판이송장치
US6575691B1 (en) Transfer arm
JP4000036B2 (ja) 搬送装置
JP3804780B2 (ja) ロボットアーム及びロボット
JP2000042970A (ja) 多関節アーム式搬送装置
JP2000195923A (ja) 搬送用ロボット、搬送装置、真空チャンバ内搬送装置およびプロセス処理装置
JP4995295B2 (ja) ロボットアーム
JP2003220586A (ja) 物品搬送ロボット及び真空処理装置
JPH11138474A (ja) 多関節ロボット
JP7261082B2 (ja) 歩行ロボット
JP4682378B2 (ja) ダブルアームおよびそれを備えたロボット
JP2536454B2 (ja) 搬送装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
WWE Wipo information: entry into national phase

Ref document number: 1999972143

Country of ref document: EP

Ref document number: 1020017006186

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 09856097

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 1020017006186

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1999972143

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1020017006186

Country of ref document: KR