JP2002515648A - 加熱反応炉の温度制御システム - Google Patents

加熱反応炉の温度制御システム

Info

Publication number
JP2002515648A
JP2002515648A JP2000548914A JP2000548914A JP2002515648A JP 2002515648 A JP2002515648 A JP 2002515648A JP 2000548914 A JP2000548914 A JP 2000548914A JP 2000548914 A JP2000548914 A JP 2000548914A JP 2002515648 A JP2002515648 A JP 2002515648A
Authority
JP
Japan
Prior art keywords
temperature
control
controller
value
heating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000548914A
Other languages
English (en)
Inventor
ポール・アール・マクヒュー
ケビン・ストダード
コンスタンティノス・ツァカリス
Original Assignee
セミトゥール・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by セミトゥール・インコーポレイテッド filed Critical セミトゥール・インコーポレイテッド
Publication of JP2002515648A publication Critical patent/JP2002515648A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/18Controlling or regulating
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B9/00Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity
    • F27B9/02Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity of multiple-track type; of multiple-chamber type; Combinations of furnaces
    • F27B9/021Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity of multiple-track type; of multiple-chamber type; Combinations of furnaces having two or more parallel tracks
    • F27B9/022With two tracks moving in opposite directions
    • F27B9/023With two tracks moving in opposite directions with a U turn at one end
    • F27B9/024With two tracks moving in opposite directions with a U turn at one end with superimposed tracks
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B9/00Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity
    • F27B9/30Details, accessories, or equipment peculiar to furnaces of these types
    • F27B9/40Arrangements of controlling or monitoring devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D19/00Arrangements of controlling devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D21/00Arrangements of monitoring devices; Arrangements of safety devices
    • F27D21/0014Devices for monitoring temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B9/00Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity
    • F27B9/06Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity heated without contact between combustion gases and charge; electrically heated
    • F27B9/062Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity heated without contact between combustion gases and charge; electrically heated electrically heated
    • F27B9/063Resistor heating, e.g. with resistors also emitting IR rays

Abstract

(57)【要約】 加熱反応炉(10)の温度制御システムであって、所定の温度範囲に最適化したダイナミックモデルを利用した複数の温度制御器からなり、一つの温度制御器の温度範囲が他の制御器の温度範囲と重ならないようになっている。この制御システムは強化型温度勾配論理を利用していると共に、非バーチャル温度検出器(36、42)にハードウェアトラブルが発生すればバーチャル温度検出器を利用する。温度検出器のトラブルが検出されると、制御システムが実際の温度検出器(36、42)をバーチャル温度検出器に置き換える。また、加熱素子(30)のトラブルを検出して、制御モードを切り換える制御論理も備わっている。

Description

【発明の詳細な説明】
【0001】 (発明の背景) 半導体ウェハやその他の微小電子部品の処理が、大量の回路及び部品が生産さ
れ、それに伴ってその価値が著しく高まっていることから、経済上著しい意義を
持つようになっている。競争に伴う圧力で生産が非常に大きな変化を遂げている
。その一つに、トランジスタやその他のデバイスを構成する回路及び部品の寸法
が小さくなったことが上げられる。この寸法減少は、例えば半導体ウェハやその
他の基板に沢山の回路を設けることにより回路の高集積化したり、簡素化及び複
合化し、生産費の減少させる必要性からもたらされている。
【0002】 集積回路やその他の微小電子部品に使われている部品寸法が劇的に減少してい
るものの、それでも更に減少させる努力がなされている。部品寸法が減少するに
伴い、処理時での温度を正確に制御する重要性がますます高まっている。半導体
ウェハやその他の基板などが処理される温度は、ドーパントの拡散や材料の付着
、その他の熱作用を伴う処理においては一次的な影響がある。従って、所望の熱
処理の仕様に合わせるには、正確な温度制御のできる処理装置を用意するのが重
要である。
【0003】 半導体装置の熱処理において発生する温度制御のフィードバック上の問題につ
いては幾つかの捉え方がある。その一つに、母材の温度をユーザが設定する処理
「処方」に合わせることが挙げられる。ここでの「処方」には、ウェハやその他の基
板に対してなすべき全体の熱処理を決定付ける設定温度、温度処理期間、温度上
昇率などがある。この処方は一般にはユーザがプログラム化しており、最終製品
を生産するのに求められる特定の熱処理要件に応じて変わる。処方の各細目につ
いては、便宜上三つの異なった段階があるものと見ることができる。一つには、
作用温度が低レベルの設定温度から高レベルの設定温度へと上昇する昇温段階、
もう一つには作用温度が高レベルの設定温度から低レベルの設定温度へと下降す
る減温段階がある。この昇温段と減温段との後には、設定処理温度を所望の一定
値に保つ期間が続いている。この一定温度段階には、昇温が終わり、一定または
ほぼ一定の温度が達成される安定期間が含まれている。これらの一定温度段階、
昇温段階、減温段階は一処理サイクル中に一回発生することもあれば、数回発生
することもある。終局的には、温度制御上の問題は、所望処方温度が達成される
かどうか、また、一生産操業から次の生産操業にかけて比較的一貫した温度を達
成できるかどうかに関係している。
【0004】 利用する温度処方が簡単なものであるにしても、また複雑なものであるにして
も、処理の各段階は、温度と熱反応に影響のある一つかそれ以上の補助処理ガス
ないし蒸気相にある処理成分が導入されると更に複雑になることがある。この補
助処理ガスとしては一般に、ドーパントや付着材、蒸気などを含む気体がある。
【0005】 種々の温度制御上の問題は、熱処理制御システムが益々厳しくなっている微小
電子回路製造業界からの要件を満たすものでなければならないのであれば、当該
熱処理制御システムからもたらされている。例えば一ロットのウェハ全てを一回
の熱処理サイクルにわたって同一温度条件に晒す必要がある。そのようなときに
制御しないままにしておくと、処理炉内にウェハが一列に並んでいるところ、こ
の列の両端側に置いたウェハの間では、処理炉の中間部に置いたウェハに比べて
温度変化が発生する。その他、例えば処理炉内のウェハの列に沿ってウェハ間で
予測できない温度変化が起こることもある。
【0006】 個々のウェハないしその他の処理母材の幅方向に沿って温度変化が発生すると
言った、温度制御上の問題もある。母材の周縁の回りに配置した加熱素子からの
熱が処理容器から放熱される。従って、ウェハの内側部分に比べてその周縁部で
の熱利得が変化することがある。それに、輻射熱伝達の度合いの変化や、隣接す
るウェハが影となって発生する温度分布のむら(radiant shadowing)なども、ウ
ェハに内在する問題を複雑化している。
【0007】 総熱処理時間を短縮することも、熱処理制御器に関わる問題点である。処理時
間を短縮すると、一般に昇温段階での温度変化率を大きくせざるを得ないことが
ある。逆に、減温段階での温度変化率を大きくせざるを得なくなることもある。
温度変化率を大きくすると、昇温段階と安定段階との間及び安定温度と比較的高
速の減温段階との間での変移期間中に処方温度を保つのが非常に困難になる。
【0008】 従来では、半導体用加熱反応炉には、温度を制御するのに比例積分微分(PID)
型制御器が使われている。最近に至っては、H-∞制御に基づくより正確な温度
制御モデルが公表されるにいたり、微小電子回路製造用反応炉に使われるように
なっている。斯かる制御器については、発明の名称を「Model Based Temperature
Controller for Semiconductor Thermal Processors(半導体用加熱処理装置の
ためのモデルによる温度制御器)」とする国際出願公開WO98/35531に開示されてお
り、ここに本願明細書の一部をなすものとして挙げておく。
【0009】 前述の制御システムには好ましい動作モードがあって、例えばユーザが入力す
る処方の基づく熱処理サイクルを制御するのに実験を通じて策定したダイナミッ
クモデルを用いている。このダイナミックモデルは通常、所定の温度範囲にわた
って行う実験に基づいている。一般にこの所定温度範囲は、最も頻繁に使われる
反応炉の温度を中心として選定されているのが通常である。ダイナミックモデル
を策定するに当たって利用する所定温度を選ぶに当たっては、他の方法も採用す
ることがある。
【0010】 本願発明者らは、実際の炉温度ないし処方の設定温度が所定温度範囲から逸れ
るに伴って、単一の制御器の精度が減少するのを見つけた。単一の所定温度範囲
に単一の制御器を使うと、設定値がこの温度範囲に入っている処理処方に対して
反応炉を利用するのが限られてくる。半導体ウェハやその他の基板に対して行う
熱処理工程が複雑になるに従って、広範囲にわたるダイナミックレンジでの処理
温度にわたり熱処理を正確に制御する必要性が望まれている。
【0011】 温度制御上の問題としては、ユーザが設定する処理処方を実行しているときで
のハードウェアのトラブルの対処方が関係している。従来では、加熱反応炉の温
度制御に利用している温度制御システムが、直線勾配で所望設定温度まで加熱反
応炉を制御している。このようにして反応炉の温度が制御されている場合での反
応炉の温度-時間特性図を図1に示す。図示のように、反応炉の温度は、温度制
御器が反応炉の温度を最終的に設定値へ調節できる前にその設定温度をオーバー
シュートしてしまう。このようにオーバーシュートすると、半導体ウェハないし
その他の母材の熱処理が好ましくない方向に著しく変わってしまうことがある。
サブミクロン級半導体装置の製造に使われている先端処理技術による加熱反応炉
システムに益々求められている要求を鑑みるに、このようなオーバーシュートは
無視できないものとなっている。
【0012】 更に、ユーザが設定する処理処方を実行しているときでのハードウェアのトラ
ブルの対処方の如何によっては、もう一つの問題点がある。即ち、処方を実行し
ている間、温度制御器は一般に一つかそれ以上の検出温度の入力信号に応答して
、加熱素子への供給電力を制御し、かくて反応炉の温度を制御するのに必要な制
御出力信号を出力するようになっている。ところが、その一つかそれ以上の検出
温度が、例えば温度検出素子側のハードウェアトラブルに起因して不正確なもの
であれば、出力される制御出力信号も不正確なものとなる。
【0013】 加熱反応炉の温度制御に使われる温度制御システムは、反応炉の動作をシャッ
トダウンさせてしまうことがあり、この場合、温度検出素子側のハードウェアト
ラブルを検出すると同時に処方の実行を中断してしまう。その結果、反応炉が完
全にシャットダウンしてしまうと共に、母材に対する熱処理が中断されてしまう
。ところが半導体集積回路には熱処理条件が厳しいことからして、前述のように
熱処理が中断されてしまうと処理している半導体ウェハが全て不良品になってし
まう。それに伴う損害は、特に半導体ウェハが完成間近の最終段階にあれば、結
局高くつく。このことは、半導体ウェハが大きい(例えば300ミリ)場合にも同
様にあてはまる。
【0014】 更にもう一つの問題点としては、加熱素子側でのトラブル発生の検出とその取
り扱いに関係した問題がある。本発明者らは、加熱素子が種々の一過的条件が原
因となって動作不良になったものの、この一過的条件がなくなるか、或いは矯正
された後に正常動作に復帰することがある知見を得ている。このような場合、加
熱素子は所望温度まで加熱するに当たり不適切な態様で駆動され、それがために
処理中の母材が損傷を受けるか、或いは、加熱素子それ自体が別のトラブル(例
えば過熱など)をもたらすことがある。
【0015】 また、本発明者らは、前述の諸問題点を認識した上で、それぞれの問題点をユ
ニークに、しかも、効果的に解消する加熱反応炉の温度制御システムをここに開
示する次第である。
【0016】 (発明の開示) 本明細書では、加熱反応炉の温度を制御する温度制御システムを開示している
。この温度制御システムは、複数の測定入力パラメータに第1ダイナミックモデ
ルを適用して第1群の制御値を発生する第1温度制御器を備えている。第1ダイ
ナミックモデルは、第1温度範囲にわたって最適化して、この第1温度範囲にわ
たり前記第1群の制御値をほぼ最適値にするように策定されている。この温度制
御システムには、複数の測定入力パラメータに第2ダイナミックモデルを適用し
て第2群の制御値を発生する第2温度制御器も備わっている。この第2ダイナミ
ックモデルは、第2温度範囲にわたって最適化して、この第2温度範囲にわたり
前記第2群の制御値をほぼ最適値にするように策定されている。第1温度範囲は
第2温度範囲とは異なっている。加熱反応炉の温度制御に利用すべき制御値は第
1群の制御値と第2群の制御値の何れかを判定するのに、制御出力選択スイッチ
論理が設けられている。第2群の制御値を反応炉の温度制御に用いるのであれば
、第1群の制御値を第2群の制御値へと駆動するために監視者利得フィードバッ
クシステム(observer gain feedback system)を用いる。同様に、この監視者利
得フィードバックシステムは、第1群の制御値を反応炉の温度制御に用いる場合
に、第2群の制御値を第1群の制御値へと駆動するためにも利用する。斯かる監
視者利得フィードバックシステムは、制御出力選択スイッチ論理が反応炉温度の
制御を第1及び第2温度制御器との間で切り換える場合に、反応炉温度の制御を
最適化するようになっている。また、他に温度制御器を追加して、他の温度範囲
をカバーするようにしてもよく、その場合、温度制御器の追加に応じて監視者利
得フィードバックを拡張する。
【0017】 他の面での制御システムによれば、バーチャル温度センサーを設けて、それに
対応する非バーチャル温度センサーのハードウェアトラブルに備えている。非バ
ーチャル温度センサーにおけるトラブルを検出すると、温度制御システムが非バ
ーチャル温度センサーからバーチャル温度センサーに切り換えて制御システム入
力が与えられるようになっている。即ち、バーチャル温度センサーの論理回路が
、一つかそれ以上の入力変数を受けて、これらの入力変数をダイナミックモデル
に適用する。このダイナミックモデルは、測定入力変数を、当該測定入力変数に
より表された条件の下での非バーチャル温度センサーの値に近似したバーチャル
温度センサーの出力値に相関付けるようになっている。このようにして、処理処
方の実行を続行することができ、従ってハードウェアトラブルにより不良品とな
りかねない母材の数を減少させることができるのである。
【0018】 別の面での温度制御システムにあっては、強化型温度勾配論理回路(enhanced
ramp trajectory logic)を備えている。
【0019】 また別の面での温度制御システムにあっては、加熱素子がトラブルを起こした
場合にシステムの制御モードを切り換える制御論理回路が備わっている。このた
めに、一つかそれ以上の加熱素子が故障した場合に一ロットのウェハが全て駄目
になるの虞を最小限にすることができる。
【0020】 (発明を実施するための最良の形態) ここに開示する加熱反応路用温度制御システムは、前述した諸問題を解消すべ
くなされたものである。ある一面でのここに開示する制御システムは、複数の温
度制御器を利用している。各温度制御器では、所定温度範囲に対して最適化した
一つかそれ以上のダイナミックモデルが利用されている。複数ある温度制御器の
内のある特定の温度制御器が最適化される温度範囲には、その他の温度制御器が
最適化される温度範囲が含まれていないのが望ましい。従って、反応炉の温度制
御に複数の制御器が利用できる。どの制御器を反応炉の温度制御に利用すべきか
は、温度制御システムへの一つかそれ以上の入力パラメータにもよる。各制御器
には、複数の制御器を互いに連繋させるフィードバック論理回路に対する監視者
利得が含まれている。この監視者利得フィードバック論理回路が、制御器の出力
をして反応炉の温度制御に使われている制御器の出力を追跡するようになってい
る。
【0021】 他の面での制御システムには、バーチャル温度センサーが設けられており、そ
れに対応する非バーチャル温度センサーのハードウェアトラブルに備えている。
非バーチャル温度センサーにおけるトラブルが検出されると、温度制御システム
がそのシステムに対する入力として、非バーチャル温度センサーをバーチャル温
度センサーに自動的に切り換えるようになっている。即ち、バーチャル温度セン
サーの論理回路は一つかそれ以上の入力変数が供給されると、当該測定入力変数
により表された条件の下での非バーチャル温度センサーの値に近似したバーチャ
ル温度センサーの出力値に測定入力変数を相関付けるダイナミックモデルにこれ
らの入力変数を適用する。このようにして、処理処方の実行を続行することがで
き、従ってハードウェアトラブルにより不良品となりかねない母材の数を減少さ
せることができるのである。
【0022】 また別の面での制御システムには、バーチャル熱電対論理回路が設けられてい
る。一つかそれ以上の熱電対素子おけるトラブル発生が検出されると、このバー
チャル熱電対論理回路が不良熱電対素子を置換するようになっている。これによ
り、一つかそれ以上の温度検出回路のトラブルで一ロットのウェハが全て無駄に
なる虞を最小限にしている。
【0023】 更に別の面での制御システムには、加熱素子がトラブルを起こした場合にシス
テムの制御モードを切り換える制御論理回路が備わっている。このために、一つ
かそれ以上の加熱素子が故障した場合に一ロットのウェハが全て駄目になるの虞
を最小限にすることができる。
【0024】 前述した本発明の諸様相は全て単一の温度制御器に組み込むこともできる。別
の方法としては、これらの温度制御システムの改良点を、本発明の他の様相とは
無関係に個々の素子に組み込むこともできる。
【0025】 典型的な温度制御器 図1Aは、本発明の温度制御システムで制御される加熱反応炉システム10の
一実施の態様を示している。加熱反応炉システム10は加熱反応炉12からなる
。図1Aに示しているのは縦配置型ではあるが、加熱反応炉12としては横配置
型であっても、また、縦配置型であってもよい。
【0026】 この加熱反応炉12は、反応室を有する処理管14からなる。この処理管14
は石英または炭化珪素でできているのが望ましい。図示の実施の形態では、処理
管は、開放端16を有するほぼ円筒形中空体にして、長手軸に沿ってある長さを
有するものとしてある。加熱反応炉システム10には、処理管14に対してウェ
ハ群20を出し入れするボートローダないしパドル18が含まれている。詳述す
れば、このボートローダ18は、支持板22と、該支持板と共に移動自在で、そ
の支持板22が処理管に挿入されると処理管14の開放端を閉鎖する扉24とか
らなる。扉24は、ウェハ群20を処理管14の処理室に装填した後、熱損を惹
起しないように処理管を封止遮断するようになっている。
【0027】 ウェハ群20は、例えば石英ないし炭化珪素製の複数のボート26と、各ボー
ト26に載置した複数のシリコンウェハ26とからなる。各ウェハ群20は、複
数のシリコンウェハ26からなり、各ボート24に複数のシリコンウェハ26が
配置されている。図示の実施の形態では、各ボート24におけるウェハは互いに
隔離されており、ウェハ26のあるこれらのボートがウェハ処理列ないし半導体
母材処理列を構成している。
【0028】 加熱反応炉12には一つかそれ以上の加熱素子30が備わっていて、これらの
加熱素子30が処理管14を囲繞している。図示の実施の形態では、これらの加
熱素子30は抵抗加熱コイルからなり、処理管14の長手軸と平行に処理室の長
さにわたって延在している。この加熱素子30は複数の独立して制御しうる加熱
ゾーン32を画成するように区画されているのが望ましく、これは加熱コイルを
独立して制御しうるゾーンに区画すべくその加熱コイルの長さ方向に沿って接続
部を設けることにより達成できる。これらのゾーンは、ゾーン毎のコイル、また
は、より大型コイルの各部分に両端に電力を供給することにより、互いに独立し
て制御できるようになっている。特に図4に示すように、加熱反応炉システム1
0には、各加熱ゾーン32に制御された電力を供給するために高電流電圧トラン
ス33とシリコン制御式整流素子(SCRs)34とが備わっている。
【0029】 加熱素子30はセラミック断熱材35に取り込まれている。この断熱材はウェ
ハ列の方へと熱を反射させるか、そうではなくとも仕向けるようになっていると
共に、処理列から離れたところでの熱流束の変動を最小限にするより均一な層を
成している。
【0030】 処理温度を制御する際に利用する温度フィードバックと温度入力の何れか一方
、または両方は少なくとも二つの出力源、即ち、複数のスパイク熱電対36と複
数のプロファイル熱電対42とから入力されるようになっている。本願明細書に
おいて用いる熱電対なる用語は、熱電対のより特定の意味を含む種々の温度セン
サーを含むものと解すべきである。別の温度センサー構成も、この熱電対なる用
語を用いて説明する。
【0031】 スパイク熱電対36は、加熱素子30と処理管14との間の如くの適当な箇所
に配置されていて、それぞれのゾーンにおける加熱素子の温度を測定するように
なっている。これらのスパイク熱電対36は加熱素子30の長手方向に沿って隔
置されているが、各加熱ゾーン32には少なくとも一つのスパイク熱電対36が
臨むように配置されている。これらのスパイク熱電対36はそれぞれの加熱ゾー
ンの温度もしくはそれぞれの加熱ゾーンにおける加熱素子の温度を表す特定的か
つ正確な情報を出力する。
【0032】 プロファイル熱電対42は、プロファイル棒40に沿って配置されていると共
に、処理管14内を延在する套管38内に収容されている。この套管は好ましく
は石英ないし炭化珪素製であるのが望ましい。プロファイル棒40は処理管14
の長手方向に沿って平行に延在している。各加熱ゾーン32には少なくとも一つ
の熱電対42が配置されている。しかし、これらのプロファイル熱電対42は必
ずしもスパイク熱電対36と位置を合わせておく必要はない。これらのプロファ
イル熱電対42は処理管14の内部温度を測定して、それぞれの加熱ゾーンにお
けるウェハ群20の温度を表す情報を出力する。
【0033】 所望によっては、加熱反応炉のモデリング時に複数の熱電対付きウェハ44を
利用してもよい。図1Aに示した加熱反応炉12に、そのモデリング時に熱電対
付きウェハ44を収容させた加熱反応炉を図1Bに示す。これらの熱電対付きウ
ェハ44はウェハ群16に等間隔置きに隔離されていて、ウェハ28の実際の温
度が正確に測定できるようにしている。各熱電対付きウェハ44は、シリコンウ
ェハと二個の熱電対46とからなり、この熱電対46はシリコンウェハの縁部と
中心部とにそれぞれ貼り付けられている。各熱電対付きウェハ44への熱電対4
6の貼り付けは、実際の温度が正確に得られるように例えばセラミック接着材を
用いて行う。
【0034】 この基本構成の加熱反応システム10は、広範囲の微小電子回路製造プロセス
に合わせて拡張することもできる。例えば、シリコンウェハ28の表面において
材料を成長、拡散、或いは付着させるために処理管14に調節された量の処理ガ
スをガス供給装置50から選択的に注入する場合ではガス供給システムないしガ
ス供給制御盤48をこの加熱反応炉システム10に設けてもよい。図3に示すよ
うにこのガス供給制御盤48には、弁52とマスフロー制御器54とが備わって
いる。マスフロー制御器52は、処理管14への処理ガスの流量を測定すると共
に、それを制御するのに使われている。尚、加熱反応炉12にはトーチ62が備
わっているが、このトーチ62はしょり管14の内側にあってもよく、外側にあ
ってもよい。このトーチ62は、水素と酸素との混合気を燃焼させて処理管14
内に蒸気を発生させて行う湿式酸化法で利用するものである。
【0035】 他の実施形態では、処理管14は低圧化学蒸着(LPCVD)法がおこなえるよ
うに内部圧力が調節できるように構成されていてもよい。そのために、図3に示
す斯かる加熱反応炉システム10には、圧力制御器56と、処理管内の圧力を測
定して、前記圧力制御器56に測定圧力を出力するバラトロン(baratron)ないし
その他の適当な圧力検出装置58が備わっている。また、これらの実施の形態で
は、ポンプ類や弁類60も、前記圧力制御器56と連繋した状態で加熱反応炉シ
ステム10に設けられていて、LPCVD法においては処理管14内の圧力を書
も内に調節できるようにしている。
【0036】 前述のハードウェアの動作の制御は、ユーザがプログラム化した処方を入力、
実行するのに適したプログラマブル制御システムと当該ハードウェアとのインタ
ーフェースを介して行われるのが望ましい。図2において、加熱反応炉システム
10は、加熱反応炉12における温度とその他の処理プロセスを制御する制御シ
ステム64を備えている。この制御システムは好ましくは二つのサブシステム、
即ち、処理シーケンスを受け入れて実行する処理シーケンスシステム66と、前
記処理シーケンスに従って温度制御を行う温度サブシステム68とに区画してあ
るのが望ましい。処理シーケンスサブシステム66と温度制御サブシステム68
との両方は、例えばユーザインターフェース82を介して入力されるユーザ定義
処理処方に従うようになっている。
【0037】 図示の実施形態では、処理シーケンスサブシステムには、ランダムアクセスメ
モリ72と、制御論理を記憶するプログラマブルEPROM74と、複数のデジ
タル入出力チャンネル76と、複数のアナログ入出力チャンネル78と、ユーザ
インターフェース82とインターフェースするマイクロプロセッサが含まれてい
る。外部(遠隔)通信が必要な場合では、該通信に備えて複数のシリアル入出力チ
ャンネル80を含ませてもよい。その他のユーザインターフェースを利用するこ
とも可能ではあるが、図示の実施形態のおけるユーザインターフェース82は、
ユーザがユーザ定義処理処方を入力できるタッチスクリーン型端末インターフェ
ースからなる。この処理処方では、ユーザは、処理工程毎に工程時間、ガス流量
、チャンバー圧、温度設定値、温度勾配などを定義する。温度制御に必要なパラ
メータ類は、入力パラメータとして温度制御サブシステム68に伝えられるが、
この温度制御サブシステム68はこの入力パラメータを利用して処方における温
度制御を実行する。このようなパラメータ入出力路を87で示す。図示の実施形
態では、斯かるパラメータは、少なくともユーザが処方に従って入力する温度設
定値からなる。
【0038】 前述したように、加熱反応炉システム10には、ガス供給システムと圧力制御
システムの何れか一方、または、両方の如く、膜形成ないし照明(light)のため
に使われる拡張機器が備わっていてもよい。このようなシステムには、処理シー
ケンスサブシステム66と、ガス供給システムと圧力制御システムの何れか一方
、または、両方とのインターフェースを司る図3に示す制御システムインターフ
ェースが備わっていてもよい。図3において、ガス供給制御盤インターフェース
104は、ガス供給制御盤48と処理シーケンスサブシステム68との間に介在
している。このガス供給制御盤インターフェース104は、マスフロー制御器5
4、ガス弁類52、内部ないし外部トーチ62、圧力制御器56、ボートローダ
18などと制御システム64との間のインターフェースを行うようになっている
。更に、このガス供給制御盤インターフェース104は、加熱反応炉のためのハ
ードウェア安全インターロック(例えば、適切な混合比での酸素が混合している
水素の供給、トーチ62の火炎の検出など)が備わっていてもよい。
【0039】 温度制御システム68は、ユーザ定義処方に従って加熱反応炉12内の温度を
制御するようになっている。この温度制御は、所望の温度状態が測定可能なシス
テムパラメータによりモデル化されている加熱反応炉12のダイナミックモデリ
ングに基づくものであるのが望ましい。動作においては、処方は、加熱反応炉1
2と所望の温度状態へと駆動するための一つかそれ以上のダイナミックモデルに
より使われる温度設定値からなる。
【0040】 温度制御器を複数有する加熱反応炉 図4に戻って、温度制御サブシステム68には、各加熱ゾーン毎に二つの入力
信号、即ち、各加熱ゾーンのプロファイル熱電対における温度が判断しうるプロ
ファイル熱電対入力と、各加熱ゾーンのスパイク熱電対における温度が反電子得
るスパイク熱電対入力との二つの入力信号が供給されるようになっている。これ
らのプロファイル及びスパイク熱電対温度は、図示の熱電対インターフェース1
30を介して線215に沿って供給されて、線135における温度設定値入力と
共に、線140から出力される一つかそれ以上の加熱素子出力制御値を生成する
ために使われる。線140における出力制御値は加熱素子制御インターフェース
145の入力端に供給されて、線150を介してそれぞれの加熱ゾーンの素子に
供給する電力を制御するのに使われる。
【0041】 図4は、温度制御サブシステム68の一実施形態を示すに過ぎない。図示のよ
うに、複数の多変数制御器96、98、100を用いている。各制御器96、9
8、100は、好ましくは他の制御器の温度範囲とは重複しない所定温度範囲に
わたって制御精度を最適化するために実験データから得られる一つかそれ以上の
ダイナミックモデルに基づいて構成されているのが望ましい。そのために、制御
器96は低レベル温度範囲にわたって温度制御を最適化でき、また、制御器98
は中レベル温度範囲にわたって温度制御を最適化でき、制御器100は高レベル
温度範囲にわたって温度制御を最適化できるように、それぞれ構成されている。
制御器が担う温度範囲は一般に一つの範囲しか設けていないが、それぞれの温度
範囲が幾らか重複しているのが望ましい場合があり得る。システムに一実施形態
によれば、低レベル温度範囲は約500℃を中心とし、中レベル温度範囲は約8
00℃を中心とし、高レベル温度範囲は約1050℃を中心としていてもよい。
各制御器が稼働する温度範囲は、これらの範囲の中心温度に対して大ざっぱに±
150°の拡がりを持っていることになる。
【0042】 各制御器96、98、100は、炉と加熱すべき母材の経験により導出したモ
デルに確固とした最適化制御理論を用いることにより構築されている。詳述すれ
ば、図示の実施形態においては、多変数制御器96、98、100は、H-無限
制御理論を用いて構築されているのが望ましい。これらの制御器96、98、1
00において用いるモデルの導出に仕方については、前掲した国際出願公開WO98
/35531に開示されている。この国際出願公開に開示されているように、各制御器
の構成には二つのダイナミックモデル、即ち、スパイク出力に対するパワー入力
に関するモデルと、プロファイル出力に対するスパイク入力に関するモデルとの
二つのダイナミックモデルが使われているのが望ましい。しかしながら、他の多
変数制御論理を用いることも可能である。
【0043】 図4に示した制御論理フローは、各制御器96、98、100を構成するのに
用いた特定の制御理論とはほぼ無関係である。一般に求められている唯一の要件
は、各制御器96、98、100としては一つかそれ以上の測定変数入力に基づ
いて正確な制御出力を発生できることである。
【0044】 図示のシステムにおいては、各制御器への変数入力は設定値入力と、熱電対3
6、42により検出された温度を表す一つかそれ以上の温度データ入力とからな
る。論理ブロック155として図示している設定値入力は、達成すべき設定温度
値を保持している。この値は、システム10により実行されるべき特定の処方に
より求まり、各制御器96、98、100の入力端に同時に供給される。同様に
、各制御器96、98、100には、熱電対36、42が検出する温度値を表す
複数のデータ値が同時に入力されるようになっている。設定温度値と熱電対デー
タ値とは各制御器96、98、100にそれぞれ対応するダイナミックモデルに
供給されて、線160、165、170を介してそれぞれ出力される出力制御値
を発生するようになっている。
【0045】 前述したように、各制御器が利用するダイナミックモデルは、他の制御器のダ
イナミックモデルに策定してある温度範囲をほぼ除外した温度範囲にわたって利
用できるように最適化されている。従って、線160、165、170における
出力制御値で、反応炉12を所望設定温度へ駆動するのに利用できる実用的な可
能性が得られる。しかしながらこの可能性の一つだけは、制御器が利用するモデ
ルはモデル毎に異なっていて、所定温度範囲に対してのみ最適化されていること
から、所定の反応炉条件に対して最適化されていると言ったことがあり得る。従
って、温度サブシステム68は、どの組の制御値を加熱素子、ひいては反応炉温
度を制御するために加熱素子点火インターフェース145に供給すべきかを選択
できるようでなければならない。
【0046】 図示のシステムでは、どの組の制御値をインターフェース145に供給すべき
かの選択は、175を以て示した制御出力選択スイッチ論理回路により行われる
。図示のように、線160、165、170における制御値は制御出力選択スイ
ッチ175の入力端に供給され、斯かるスイッチ175が選択した組の制御値を
所定選択基準に基づいて制御値出力線140に出力する。選択基準は、制御器9
6、98、100を引き出すのに使用するどれかのダイナミックモデルが所定条
件下では最適なものであることを示す測定可能な入力値の基づいて策定されてい
るのが望ましい。
【0047】 加熱素子点火インターフェース145に供給すべきどれかの制御値を選択する
に当たり制御出力選択スイッチ175が利用する基準の一つとしては、135に
おける設定値入力値がある。線135で示したように、設定値入力値は、制御出
力選択スイッチ175への入力として出力されていてもよい。線160、165
、170に示した制御値の内で制御出力選択スイッチ175の出力端に現れる制
御値の組は、線135における特定の温度設定値入力値によって変わる。
【0048】 あるモードでの動作にあっては、温度設定値入力値の値に依存する切換え論理
は、各制御器96、98、100に対して上限及び/又は下限設定温度閾値を割
り当てることになる。例えば、低レベル温度制御器96の出力値160は、設定
温度値が所定の閾値TLow以下であればそれが選択されて出力線160へ出力さ
れる。又、設定温度値がTLow以上だが、別の閾値THigh以下であれば、中レベ
ル温度制御器98の制御値165が選択されて出力線160に出力される。更に
、設定温度値がTHigh以上であれば、高レベル温度制御器100の制御値170
が選択されて出力線160に出力される。この例にあっては、TLowの値として
は、低レベル温度制御器96が利用するダイナミックモデルが正確か、最適の少
なくとも何れかとなる上限温度値に近似するように選定されているのが望ましい
。別の方法としては(或いは、それに加わって)TLowの値としては、中レベル温
度制御器98が利用するダイナミックモデルが正確か、最適の少なくとも何れか
となる下限温度値に近似するように選定されているのが望ましい。THighの値と
しては、中レベル温度制御器98が利用するダイナミックモデルが正確か、最適
の少なくとも何れかとなる上限温度値に近似するように選定されているのが望ま
しい。別の方法としては(或いは、それに加わって) THighの値としては、高レ
ベル温度制御器100が利用するダイナミックモデルが正確か、最適の少なくと
も何れかとなる下限温度値に近似するように選定されているのが望ましい。
【0049】 加熱素子点火インターフェース145に供給すべきどれかの制御値を選択する
に当たり制御出力選択スイッチ175が利用する別の基準としては、一つかそれ
以上の熱電対36、42が検出する熱電対温度入力値がある。線180で示した
ように、熱電対温度入力値は、制御出力選択スイッチ175の入力として供給さ
れていてもよい。制御出力選択スイッチ175の出力として出されるのは、線1
60、165、170における制御値の内どの組の制御値であるかは、線180
に出現する特定の熱電対温度入力値に依存する。好ましくは、図2におけるスパ
イク熱電対36aの如くの反応室の中間部近傍に設けた熱電対を利用して、熱電
対温度入力値を定めるのが望ましい。反応室の中間部近傍に配置されている熱電
対からは、反応室全体の平均的な温度を再現した温度入力が得られる。
【0050】 動作に当たっては、熱電対温度入力値の値に依存する切換え論理は、各制御器
96、98、100を出力に選択する温度範囲を割り当てることになる。熱電対
入力値が所定閾値TLow以下の範囲にある温度を表しているのであれば、低レベ
ル温度制御器96の線160における制御値の組が選択されて出力線140に出
てくる。熱電対入力値がTLow以上ではあるが、別の閾値THigh以下での範囲に
ある温度を表しているのであれば、中レベル温度制御器98の線165における
制御値の組が選択されて出力線140に出てくる。同様に、熱電対入力値がTHi gh 以上の範囲にある温度を表しているのであれば、高レベル温度制御器100の
線170における制御値の組が選択されて出力線140に出てくる。この例にあ
っては、TLowの値としては、低レベル温度制御器96が利用するダイナミック
モデルが正確か、最適の少なくとも何れかとなる上限温度値に近似するように選
定されているのが望ましいが、別の方法としては(或いは、それに加わって)、中
レベル温度制御器98が利用するダイナミックモデルが正確か、最適の少なくと
も何れかとなる下限温度値に近似するように選定されていてもよい。同様に、T High の値としては、中レベル温度制御器98が利用するダイナミックモデルが正
確か、最適の少なくとも何れかとなる上限温度値に近似するように選定されてい
るのが望ましいが、別の方法としては(或いは、それに加わって)、高レベル温度
制御器100が利用するダイナミックモデルが正確か、最適の少なくとも何れか
となる下限温度値に近似するように選定されていてもよい。
【0051】 本発明者らは、特定の制御器の制御値が出力線140において利用されていな
くても、全ての制御器96、98、100がそれぞれのダイナミックモデルに基
づく算出制御値を有するのが望ましいこと知見を得ている。しかし、以前に使わ
れなかった制御器に対する切換え基準が制御出力選択切換え論理175において
一旦満たされるとその使われなかった制御器の制御値出力へ切り換えるようなこ
とがあれば、制御システム68が反応炉12を次善(sub-optimal)の態様で所望
の設定温度値へと駆動することになることも本発明者らには判明している。これ
は、未使用の制御器で利用するダイナミックモデルが、制御値切換え前に使われ
た制御器のダイナミックモデルとは異なっているからである。このように異なっ
たダイナミックモデルにより、出力線140における出力にほぼ異なった制御値
が得られるのである。
【0052】 制御値の組を切り換えているときに反応炉12を次善に駆動(sub-optimal dri
ving)するの減少するために、各制御器96、98、100を論理的に監視者利
得フィードバック論理装置185、190、195と温度制御論理装置200、
205、210に区分けしている。各温度制御論理装置200、205、210
は、設定値入力値155と、線215に現れる複数の熱電対データ値と、線22
0、225、230を経て供給される監視者利得フィードバック論理装置からの
監視者利得フィードバック出力値との基づいたダイナミックモデルをそれぞれ実
行するようになっている。各監視者利得フィードバック論理装置185、190
、195には、対応する温度制御論理装置185、190、195に供給する監
視者利得フィードバック出力値を生成するのに利用する一つかそれ以上の入力値
が供給されている。図示の実施形態では、各監視者利得フィードバック論理装置
185、190、195には、対応する温度制御論理装置200、205、21
0により算出された一つかそれ以上の制御器出力値が線235、240、245
を介して供給されていると共に、制御出力選択スイッチ論理175から供給され
るフィードバック値が線250、255、260を介して供給されている。線2
35、240、245における制御器出力値は好ましくは、最終的には制御器出
力における制御値を算出するために、温度制御論理装置内において監視者フィー
ドバック路で使われる対応する温度制御論理装置内にて算出されるデータ値であ
るのが望ましい。制御出力選択スイッチ論理175から出力される監視者フィー
ドバック値は、出力線140への出力として選択された特定の制御器の制御出力
を示す含んでいる。
【0053】 動作について言えば、特定の制御器に対する線220、225、230におけ
る監視者利得フィードバック値は、特定の制御器の制御値出力が加熱素子点火イ
ンターフェース145への出力に備えて出力線140に供給されているかどうか
に応じて、線235、240、245における所定組の制御器出力値ごとに異な
っている。例えば、線250における値入力が、線160における制御器96の
出力が出力線140に出されたことを示した場合、線235における所定組の低
温度制御器出力値で一組の制御値Xが線160を介して出力される。又、線25
0における値入力が、線160における制御器96の出力が出力線140に出さ
れていないことを示した場合、線235における前記所定組の低温度制御出力値
で別の一組の制御値Yが線160を介して出力される。このため、線250、2
55、260におけるアナログの監視者フィードバック値を除外した線235、
240、245における制御器出力モデルは、特定の制御器の制御値出力が出力
線140を介して供給されるのであれば、対応する監視者利得フィードバック論
理装置185、190、195による第1制御マトリックス計算の対象とするが
、特定の制御器の制御値出力が出力線140を介して供給されるようなことがな
い場合では、対応する監視者利得フィードバック論理装置による第2マトリック
ス計算の対象となるのが望ましい。これらの値はその後、対応する監視者利得フ
ィードバック論理装置185、190、195の出力線220、225、230
を介して対応する温度制御論理装置に供給される。第1利得制御マトリックス計
算の利得制御マトリックス定数としては、特定の制御器が、そのダイナミックモ
デルが策定されている温度範囲内で最善態様にて動作し得るように選定されてい
るのが望ましい。第2里と熊トリック助遺産には別の利得マトリックス定数を用
いる。この第2利得マトリックスは、特定の制御器の制御値出力が通常、制御出
力選択スイッチ175により選択された制御器の制御値出力を追跡できるように
選定されているのが望ましい。従って、各制御器は、制御出力選択スイッチが出
力線140にその制御値を出力したときに、その出力端に最適制御値を出力する
が、他の制御器のどれかの制御値が出力線140に出された場合では一つかそれ
以上の他の制御器の制御値出力を追跡する制御値が出力されるようになっている
のが望ましい。特定の温度制御器96、98、100に対する第1及び第2利得
マトリックス計算での定数は、他の温度制御器で使われる対応する定数とは異な
っていてもよい(一般に、異なっているのが通常である)。
【0054】 前述したように、図4に示した実施形態は、一般に、温度制御器96、98、
100が利用する特定の多変数制御器とは無関係である。しかし、図5には、ス
パイク出力に対するパワー入力に関するモデルと、プロファイル出力に対するス
パイク入力に関するモデルとの二つのダイナミックモデルを利用する温度制御器
を実現する一態様が示されている。ダイナミックモデルは、特にプロファイル及
びスパイク熱電対が出力する温度値を利用するように策定されている。制御論理
アーキテクチャは図4に示した温度制御サブシステムで利用するのに適している
。図5のアーキテクチャは、低レベル温度制御器の符号96で示してあるけれど
も、各温度制御器96、98、100の構成において利用するのに適しているも
のである。
【0055】 図示のように、96を以て示す制御器は、線135における温度設定値と線3
00を介して入力されるプロファイル熱電対値との比較結果に基づいて線295
に出力されるプロファイルエラー値を生成するプロファイルエラー信号発生器2
90を備えている。同様に、スパイクエラー信号発生器305は、線310を介
してスパイクエラー値を出力する。線295におけるプロファイルエラー値はプ
ロファイル制御器320の入力端315に、又、線310におけるスパイクエラ
ー値はスパイク制御器330の入力端325にそれぞれ供給される。このような
構成は国際出願公開WO98/35531に開示されているので、便宜上その詳細な説明は
ここでは行わないものとする。
【0056】 親出願における基本的な温度制御器とは異なって、制御器96は、図4に示し
たシステムアーキテクチャと前述のそれに対応した開示内容に従って監視者利得
フィードバック論理を実現している。そのために、非最終(anti-windup)利得計
算マトリックス335と、利得スケジュール計算マトリックス340と、監視者
モードスイッチ345とを利用して、入力端315に供給するパラメータの値を
算出している。非最終利得計算マトリックス335は、第1組の利得パラメータ
を用いて算出した出力値を線355を介して出力する。利得スケジュール計算マ
トリックス340は、第2組の利得パラメータを用いて算出した出力値を線36
0を介して出力する。出力線355、360におけるそれぞれの出力値は、監視
者モードスイッチ345の入力端に供給される。この監視者モードスイッチ34
5は出力線355、360を介して供給されるそれぞれの出力値の何れかを選択
して、選択した出力値をプロファイル制御器320の入力端315に供給する。
即ち、250で示した信号の値が制御器96の出力160における制御値が加熱
素子点火インターフェース145に供給されていると示した場合では、監視者モ
ードスイッチ340は線355における非最終利得計算マトリックス335の出
力値を選択する。同様に、250で示した信号の値が制御器96の出力160に
おける制御値が加熱素子点火インターフェース145に供給されていないものと
示した場合、この監視者モードスイッチ340は線360における監視者利得計
算マトリックス335の出力値を選択する。
【0057】 同様な論理アーキテクチャがスパイク制御器330にも設けられている。図示
にように、非最終利得計算マトリックス370と、利得スケジュール計算マトリ
ックス375と、監視者モードスイッチ380とが、入力325に供給されるパ
ラメータの値を算出するのに用いられている。非最終利得計算マトリックス37
0が、第1組の利得パラメータを利用して算出した出力値を線385に出力する
。利得スケジュール計算マトリックス375は、第2組の利得パラメータを利用
して算出した出力値を線390に出力する。線385、390におけるそれぞれ
の出力値は、監視者モードスイッチ380の入力端に供給される。この監視者モ
ードスイッチ380は出力線385、390を介して供給されるそれぞれの出力
値の何れかを選択して、選択した出力値をスパイク制御器330の入力端325
に供給する。即ち、250で示した信号の値が制御器96の出力160における
制御値が加熱素子点火インターフェース145に供給されていると示した場合で
は、監視者モードスイッチ380は線385における非最終利得計算マトリック
ス370の出力値を選択する。同様に、250で示した信号の値が制御器96の
出力160における制御値が加熱素子点火インターフェース145に供給されて
いないものと示した場合、この監視者モードスイッチ380は線390における
監視者利得計算マトリックス375の出力値を選択する。
【0058】 図5に示した論理アーキテクチャを利用して、非連結切離し式(de-coupled)監
視者フィードバックシステムを実行することもできる。斯かるシステムでは、丸
印をつけた入力値に対応する利得はゼロに設定されている。
【0059】 尚、広範囲のシステム論理アーキテクチャが、加熱素子点火インターフェース
145に選択的に供給すべき制御値を算出するためにプロファイル及びスパイク
熱電対データ値の両方を利用するものであって、個々に開示した監視者利得フィ
ードバック論理を含む温度制御システムを実行するに利用できる。例えば、スパ
イク及びプロファイル制御器を同一温度範囲に対して設計されている制御器を用
いるよりはむしろ、異なった温度範囲にごとの複数のプロファイル制御器の一つ
からの一組の出力値が単一で共通のスパイク制御器の入力に選択的に供給される
ように、温度制御システムを設計することも可能である。斯かるシステムでは、
開示されている監視者モードフィードバック論理は各プロファイル制御器だけに
適用されることになる。
【0060】 強化型温度勾配論理回路を有する温度制御システム 図6に、強化型温度勾配論理回路を有する温度制御システム68の実施する一
態様を示す。図示のように、制御器1140には、熱電対36、42で測定した
温度値に対応する複数のデータ値が線1135を介して供給されるようになって
いる。また、昇温/減温温度値発生器1145からも温度出力値Toutputが供給
されるようにもなっている。後述するように、温度値発生器1145は、処理処
方の一部として例えばユーザが入力する、1150を以て論理的に示した温度設
定値入力値に少なくとも応答して制御器1140に温度出力値Toutputを供給す
る。加熱反応炉12における温度オーバーシュートを防ぐのに役立っている温度
出力値Toutputを発生するのは、昇温/減温温度値発生器が行う論理動作による
ものである。
【0061】 制御器1140は、炉と加熱すべき母材の経験により導出したモデルに確固と
した最適化制御理論を用いることにより構築されている。詳述すれば、図示の実
施形態においては、制御器1140は、H-無限制御理論を用いて構築されたダ
イナミックモデルを利用する多変数制御器である。この制御器1140において
用いるダイナミックモデルの導出に仕方については、発明の名称を「Model Based
Temperature Controller for Semiconductor Thermal Reactor」とする、前掲の
国際出願公開WO98/35531に開示されており、この国際出願公開を本願明細書の一
部を構成するものとして、ここに挙げておく。しかしながら、他の多変数制御論
理構成をを用いることも可能である。従って、図6に示した制御論理フローは、
制御器を構成するのに利用される特定の制御理論とは無関係である。一般に求め
られている唯一の要件は、制御器1140としては一つかそれ以上の測定変数入
力に基づいてダイナミックモデルを実行できることである。
【0062】 制御器1140は、線1155からの温度出力値と線1135からの熱電対デ
ータ値とをそのダイナミックモデルに適用する。この制御器1140はこれらの
入力値を利用して、加熱素子点火インターフェース1165の入力端に連なる出
力線1160に出力する複数の制御値からなる出力を生成する。点火インターフ
ェース1165は、制御値の値に基づいて出力線1170を介して制御した電力
を出力するが、それにより各ゾーンにおける熱の量を調節して反応炉温度を調節
する。
【0063】 ここで、制御器1140にはそのダイナミックモデルへの直接入力として設定
値入力が供給されていない。むしろ、温度設定値入力値は温度値発生器1145
に供給され、この温度値発生器1145から制御器1140に、当該制御器11
40が加熱反応炉12の温度制御に利用する増分温度出力値Toutputが供給され
る。温度出力値Toutputは、時間経過に伴う修正温度勾配関数(modified ramp f
unction)を形成する。この修正昇温関数を形成する温度出力値で、昇温段階の一
部の間に温度設定値入力値Tspへと最大昇温率(maximum ramp rate)で、或いは
、それに近い率で制御器1140が駆動される。しかしながら、この修正温度勾
配関数の昇温率は、温度制御を改善するとか、ウェハのスリップを避けるとかな
どのために、温度設定値に近づくに伴って減少されるようにしてもよい。
【0064】 温度値発生器1145の修正温度勾配関数を実施するには幾つかの新規な態様
がある。図7にその一つを示す。
【0065】 図7において、温度値発生器1145の目標は、制御器1140を駆動する、
即ち、反応炉温度を初期温度Tinitialから温度設定入力値Tspへと駆動する温
度出力値Toutputのシーケンスを出すことにある。その過程で温度値発生器11
45は、時間経過に伴う修正温度勾配関数を形成する温度出力値Toutputを出力
する。斯かる修正温度勾配関数を線1178で示す。
【0066】 1178で示したように、温度値発生器1145には時刻t1において温度設
定値入力値が供給され、最大昇温率Rmaxに対応する増分温度出力値Toutput
制御器1140に供給される。最大昇温率Rmaxの値はユーザが入力する値に基
づくものであってもよいし、または、所定のシステム定数であってもよい。
【0067】 温度出力値Toutputの値が温度設定入力値Tspに近づくにつれて、温度値発生
器1145は制御器1140に対して最小昇温率Rminに対応する温度出力値To utput を供給し始める。この最小昇温率は、温度値発生器1145から供給され
た温度出力値Toutputが温度設定入力値Tspと等しくなるまで使われる。この最
小昇温率Rmaxはユーザが入力する値に基づくものであってもよいし、または、
所定のシステム定数であってもよい。それは好ましくは、母材を処理許容範囲内
で加熱処理するのが損なわれない程度、加熱反応炉12で最大許容温度のオーバ
ーシュートをもたらす最小昇温率に設定されているのが望ましい。
【0068】 温度値発生器1145が最大昇温率Rmaxから最小昇温率Rminへ切り換える時
点は幾つかの方法で設定できる。例えば、温度値が閾値と等しくなるか、または
それを越えた場合に温度値発生器145が最小昇温率に切り換えるようであって
もよい。この閾値は、温度設定入力値Tspの百分率Xに基づくものであってもよ
い。Xの値はユーザが入力する処方の一部であってもよいし、または、所定のシ
ステム定数であってもよい。同様に、温度値発生器1145は、昇温段階の所定
時間において最小昇温率へ切り換えるようであってもよい。この場合での所定の
時間値は、全昇温時間(t2-t1)の所定の百分率Zに基づくものであってもよい
【0069】 図8は、温度値発生器1145が実施する別の修正温度勾配関数を示す。関数
線1182で示すように、温度値発生器1145は、昇温段階が始まったばかり
の時点において制御器1140を最小昇温率Rminで駆動する温度出力値Toutpu t を発生する。温度設定入力値Tsp、または、初期温度値Tinitialの百分率Yの
如くの所定時点で、制御器1140を最大昇温率Rmaxで駆動する温度出力値To utput が温度値発生器1145から発生する。そして温度設定値Tsp、または、
初期温度値Tinitialの別の所定時点においては、温度出力値が温度設定入力値
spに達するまで最小昇温率Rminで制御器1140を駆動する温度出力値Tout put が温度値発生器1145から発生する。このように、昇温率の切り替えは、
全昇温時間や、ユーザ定義処方値、所定のシステム定数などに基づいて行うよう
にしてもよい。
【0070】 温度値発生器1145が実施するまた別の修正温度勾配関数を図9に示す。こ
の例にあっては、制御器1140が利用する昇温率Rcurrentは昇温段階にわた
って変化しているが、最小昇温率Rminを下回るようなことはない。
【0071】 Rcurrent = (Ttarget − Toutput)/τ Rcurrent = δToutput/δτ 但し、τは時定数(ユーザ定義またはシステム定数)であり、
【0072】 但し、Tspは、温度設定値であり、 ΔT = |Tsp − Tinitial|
【0073】 Tspについて下記のシステムを解くことにより温度勾配(ramp trajectory)が
得られる。
【0074】
【0075】 定義 Tsp: 温度勾配を定義する時間依存設定値 Tsp new: 新目標設定値 Tsp old: 旧目標設定値 ΔT: Tsp new −Tsp old t: 昇温開始から測定した時間変数 τ: 昇温時間定数 Tinput: その後フィルターされる入力温度勾配
【0076】 前述の昇温率の計算の結果、最小昇温率Rminよりも小さい値が得られたのであ
れば、Rcurrent=Rminであり、従って、温度値発生器が利用する昇温率は最小
昇温率以下になるようなことはない。
【0077】 図9に示したように、前述のようにして生成された温度出力値Toutputで、昇
温段階にわたって最小昇温率と最大昇温率との間の変移を円滑にすることができ
る。このように変移を円滑にすれば、制御器1140は加熱反応炉12の温度を
より正確に制御できる。
【0078】 図10は、所定の最小昇温率値Rminの値が温度出力値Toutputにもたらす影
響を示すものである。この図において、線1210は、大きい最小昇温率Rmin
を利用した場合での温度出力値Toutputを示すグラフである。線1215は、線
1210で示した温度出力値を得るのに利用した最小昇温率よりも小さい最小昇
温率Rminを利用した場合での温度出力値Toutputのグラフを示す。同様に、線
1218、1220は、更に小さい最小昇温率を利用した場合での温度出力値を
それぞれ表している。図10から分かるように、小さい最小昇温率では、温度設
定値Tspに最終的に達するまで時間がかかるが、その値に達するまでの変移は円
滑であることから、加熱反応炉12における温度のオーバーシュートを阻止、も
しくは、除去することができる。時定数τを変えても同様な効果を奏することが
できる。時定数が大きければ、最小昇温率と最大昇温率との間での変移が円滑と
なると共に、温度出力値が温度設定値Tspに達するに従って円滑な変移を得るこ
とができる。
【0079】 温度オーバーシュートを避けることができる他に、非線形温度勾配を利用する
ことには下記の利点も得られる。 1. 全体にわたる良好な制御挙動とゾーンとゾーンとの整合性 2. ウェハの可塑変形限界以下の昇温速度での単一温度勾配の仕様。別に は、互いに組み合わされる(piece)煩雑な組の線形昇温セグメントがある
【0080】 典型的には、異なった制御目的に間で妥協しなければならないところがある。
好ましい実施形態では、強力な妨害排除特性を有する高帯域幅制御器を選定する
(SP変動を妨害と見ることができる)。従って、線形昇温は、強力な制御器の応
答性をもたらす大きな妨害となりうる。非線形昇温なら妨害を平滑化でき、制御
器応答性も平滑化できる。
【0081】 前述した修正温度勾配関数は温度昇温段階で使われるものとして説明したが、
温度減温段階においても同様に使えることは明らかであろう。斯かる温度減温段
階で利用する場合では、修正温度勾配動作の目的の一つとしては、反応炉の温度
が新たに適用した設定温度以下に下がらないようにすることにある。
【0082】 バーチャル温度検出器を有する温度制御システム 図11に、バーチャル温度検出器を備えた温度制御システム68の一実施態様
を示す。図示のように、制御器2160は、複数の入力データ値が供給されるよ
うに接続されている。この制御器2160は、炉と加熱すべき母材の経験により
導出したモデルに確固とした最適化制御理論を用いることにより構築されている
。詳述すれば、図示の実施形態においては、制御器2160は、H-無限制御理
論を用いて構築されているダイナミックモデルを利用する多変数制御器であるの
が望ましい。この制御器140において用いるダイナミックモデルの導出に仕方
については、発明の名称を「Model Based Temperature Controller for Semicond
uctor Thermal Reactor」とする、前掲の国際出願公開WO98/35531に開示されてお
り、この国際出願公開を本願明細書の一部を構成するものとして、ここに挙げて
おく。しかしながら、他の多変数制御論理構成をを用いることも可能である。従
って、図11に示した制御論理フローは、制御器を構成するのに利用される特定
の制御理論とは無関係である。一般に求められている唯一の要件は、制御器21
60としては一つかそれ以上の測定変数入力に基づいてダイナミックモデルを実
行できることである。
【0083】 制御器2160には線2165を介して、熱電対36、42が測定した温度値
に対応するデータ値が供給される。これらの値は熱電対切換え論理回路2170
を介して熱電対インターフェース2130からスルー出力されている。この制御
器2160には線2135を介して、喩えhばゆーざにより処理処方の一部とし
て入力される、2175で示した温度設定入力から温度設定値が入力されるよう
にもなっている。
【0084】 制御器2160はそのダイナミックモデルに線2135における温度設定値と
線2165における熱電対データ値とが適用される。この制御器2160はこれ
らの入力値を利用して、加熱素子点火インターフェース2145の入力端に連な
る出力線2140に出力する複数の制御値からなる出力を生成する。点火インタ
ーフェース2145は、制御値の値に基づいて出力線2150を介して制御した
電力を出力するが、それにより各ゾーンにおける熱の量を調節して反応炉温度を
調節する。
【0085】 理解されるように、ハードウェアにトラブルが発生して熱電対の測定値が不正
確になると、制御器2160のダイナミックモデルは、線2140に論理的に示
した制御出力値に対して不適切な解を出してしまう。従来の方法では、温度制御
システムは、トラブル状態が検出されると直ちにシャットダウンする。このよう
な従来の方法とは違って、本発明の温度制御システムでは、トラブルにあった入
力値の代わりにバーチャル温度検出器が動作して、プログラム化されている処方
に従って反応炉の動作を続行するか、または、母材への損傷を最小限にすべく整
然とシステムをシャットダウンさせるようになっている。
【0086】 図11に示した制御システムの実施形態では、トラブルにあった入力値に代わ
ってバーチャル温度検出器を動作させるのに少なくとも三つの論理部品を用いて
いる。そのために、熱電対インターフェース2130の出力端2180にプロフ
ァイル熱電対値とスパイク熱電対値とが供給されるようにしている。これらの値
は熱電対トラブル検出論理2185とバーチャル熱電対論理2190と熱電対切
換え論理2170とに供給される。
【0087】 熱電対トラブル検出論理2185は熱電対データ値をモニターして、ハードウ
ェアトラブルを示す一つかそれ以上の値の変化を検出する。一般に、ハードウェ
アトラブルは、特定の熱電対からの入力値を供給する一つかそれ以上の構成部品
におけるトラブルであって、熱電対そのもののトラブルとは必ずしも限られない
。種々の状態変化で斯かるトラブルが発生したことが分かる。例えば、熱電対ト
ラブル検出論理2185が各熱電対の入力値をモニターしていたところ、その値
が所定の上限閾値を越えたかどうか、または、所定の下限閾値を下がったかどう
か判断することがある。そのような限度は熱電対ないし関連構成部品の回路開成
状態ないし短絡状態を表すものであってもよい。また、各熱電対の入力値が示す
温度変化速度を上限ないし下限速度閾値と比較して、熱電対ないし関連構成部品
のトラブル状態を検出するようにしてもよい。熱電対入力値の一つかそれ以上の
トラブルが検出されると、検出論理2185から、トラブル状態を表し、かつ、
トラブルが発生した熱電対入力の一つかそれ以上を識別するトラブル出力信号が
2195で示した線に出力される。
【0088】 バーチャル熱電対論理2190には熱電対データ値も供給されるようになって
いて、これらの値を複数のダイナミック熱電対モデル、線2165を介して制御
器2160に供給すべき各熱電対データ値入力にそれぞれ関連する少なくとも一
つのモデルへの入力として利用する。各ダイナミック熱電対モデルは、ある特定
の熱電対入力にハードウェアトラブルがなかった場合に当該特定の熱電対入力に
ついて制御器2140への熱電対入力があるべき姿を正確に再現するようになっ
ている。ここに開示の実施形態にあっては、特定の熱電対入力用ダイナミックモ
デルとしては、制御システムで利用する一つかそれ以上の他の熱電対からの熱電
対入力値に対してモデルが策定されている熱電対入力値に関係しているものが望
ましい。スパイク熱電対とプロファイル熱電対との両方が制御器2160のダイ
ナミックモデルにより利用されるようになっている実施形態においては、プロフ
ァイル熱電対だけモデル化されている。バーチャル熱電対出力値は、線2200
で論理的に示した熱電対切換え論理2170の入力端に供給される。
【0089】 論理的に線2135で示した熱電対入力値は、熱電対トラブル検出論理218
5のトラブル出力2195の状態に依存する。線2180における熱電対入力値
が熱電対36、42の温度を正確に反映している正常動作状態のもとでは、熱電
対切換え論理2170は線2165を介して制御器2160への入力としてこれ
らの値をスルー出力する。プロファイル熱電対またはそれに対応する構成部品が
故障すると、このトラブルが熱電対トラブル検出論理2185により検出されて
、この検出論理から線2195を介してトラブル出力が少なくとも熱電対切換え
論理2170に出力される。熱電対切換え論理2170は線2195におけるト
ラブル出力に応答して、故障した熱電対入力のスルー出力を阻止する一方、線2
165における故障熱電対入力に対応するバーチャル熱電対入力に置換して制御
器2160がそれを利用できるようにする。好ましくは、線2195におけるト
ラブル出力は制御器2160にも供給されるようにして、制御器2160が適切
な動作をとれるようにトラブル状態を知ることができるようにするのが望ましい
。斯かる適切な動作としては、反応炉システムの整然としたシャットダウン、処
方の続行、処方の修正実行などが挙げられる。制御器論理がどの動作をとるべき
かは、例えばどの熱電対入力がトラブルを伴っているかにもよる。
【0090】 前述した如くのバーチャル温度検出器は、前述した温度制御システムにおいて
も利用できる。また、所定の、一般に重なり合っていない温度範囲にわたって利
用する一つかそれ以上のダイナミックモデルを最適化する原理は、バーチャル温
度検出器を利用した構成においても適用できる。そのようなシステムを図12に
示す。
【0091】 図示のように、2190で示したバーチャル熱電対論理は、論理線2180a
で示したスパイク温度入力値が供給されるようになっていて、バーチャルプロフ
ァイル熱電対値を生成する。このスパイク温度入力値は少なくとも二つの予想プ
ロファイル値モデル2210、2215の入力端に供給される。予想プロファイ
ル値モデル2210は、予想プロファイル値モデル2215が最適化されている
温度範囲よりも高い温度範囲に最適化されている。各モデル2210、2215
の予想プロファイル熱電対値は予想プロファイル選択器2220の入力端に供給
される。この予想プロファイル選択器2220は、加熱反応炉が稼働している、
或いは、稼働すべき温度範囲を表す一つかそれ以上の入力信号に応答して、線2
225または線2230における適当な予想プロファイル値を選択してこれを線
2200に出力する。好ましい実施形態では、予想プロファイル選択器2220
は、加熱反応炉12の中間部に配置されているスパイク熱電対が検出する温度を
表す線2180bにおけるスパイク温度入力値に応答するようにしている。従っ
て、検出した温度が高温予想プロファイル値モデル2210の最適範囲内に下降
すると線2225における予想プロファイル値が選択されて線2200に出力さ
れる。同様に、検出温度が定温予想プロファイル値モデル2215の最適範囲内
に下降すると、線2230における予想プロファイル値が選択されて線2200
に出力される。線2135(図11)における温度設定入力の値が、予想プロファ
イル選択器2220に供給されて、所定条件の下では最適なモデルは予想プロフ
ァイル値モデル2210、2215の何れかを選択する基準として了されていて
もよい。温度設定入cひょくが高温予想プロファイル値モデル2210の最適範
囲内に下降するのであれば、線2225における予想プロファイル値が選択され
て線2200から出力される。同様に、温度設定入力の値が低温予想プロファイ
ル値モデル2215の最適範囲内に下降すれば、線2230における予想プロフ
ァイル値が選択されて線2200から出力される。
【0092】 尚、ここまで説明したバーチャル温度検出器置換システムを行うのに、図11
と図12を参照して説明したそれぞれの論理アーキテクチャ以外に、広範囲の論
理アーキテクチャが利用できるのは言うまでもない。従って、このような考えら
れるシステムも本発明の範囲に含まれるべきである。
【0093】 加熱素子トラブル制御 温度制御システム68は、ユーザがプログラム化した処方に従って加熱反応炉
12内の温度を制御する。この温度制御は、所望の温度状態が測定可能なシステ
ムパラメータに基づいてモデル化されている加熱反応炉12のダイナミックモデ
リングに基づいているのが望ましい。動作時には、処方としては、加熱反応炉1
2を所望温度状態へと駆動するために一つかそれ以上のダイナミックモデルが利
用する温度設定値からなる。
【0094】 図13を参照して、温度制御サブシステム68には、各所定加熱ゾーン毎に二
つの入力信号、即ち、その加熱ゾーンにおけるプロファイル熱電対の温度が判定
されるプロファイル熱電対入力と、当該加熱ゾーンにおけるスパイク熱電対の温
度が判定されるスパイク熱電対入力との二つの入力信号が供給される。これらの
プロファイル温度とスパイク温度とは線3215で示したように熱電対インター
フェース3130を介して出力され、線3135における温度設定入力と共に、
線3140を介して一つかそれ以上の加熱素子出力制御値を出力するのに利用さ
れる。線3140における出力制御値は、加熱素子制御インターフェース314
5の入力端に供給されて、線3150を介してそれぞれの加熱ゾーン素子に供給
する電力を制御するのに利用される。
【0095】 制御器96、98、100、102を設計するプロセスについては、発明の名
称を「Model Based Temperature Controller for Semiconductor Thermal Proces
sors」とする前掲国際出願公開WO98/35531に開示されており、ここに本願明細書
の一部をなすものとして挙げておく。その国際出願に開示されている加熱反応炉
の一実施形態においては、オフライン式とオンライン式の二種のモデルがつくら
れている。「オフライン式モデル」とは、制御システム構成のつくられたモデルを
意味し、「オンライン式モデル」とは、実際の半導体ウェハ28を処理する如く、
加熱反応炉12の動作中にアクティブになるモデルを意味する。
【0096】 前述の国際出願に開示されている実施形態では、電力設定-スパイク熱電対モ
デルと、スパイク熱電対-プロファイル熱電対モデルと、プロファイル及びスパ
イク熱電対-熱電対付きウェハモデルとの三種のオフライン式モデルが開発され
ている。各オフライン式モデルは、温度サブシステムにおける制御器96、98
、100、102を構成するのに使われている。
【0097】 加熱反応炉12の稼働中でのウェハ温度を予測するのに単一ノンライン型モデ
ルを展開する。オンライン式モデルは、スパイク及びプロファイル熱電対36、
42からの実際の温度測定値を利用してウェハ28の実際の温度を予測する。全
体の加熱反応炉12のモデリングは複雑で、時間の経過に伴って非常に変動しや
すく、また、管理維持操作を要することから、ウェハ温度と測定プロファイル及
びスパイク温度との間の関係を簡単にモデリングすることで、温度のダイナミッ
ク変化中でのウェハ温度を正確に表すようにしている。また、安定状態のもとで
は、プロファイル温度はウェハ28の実際の温度を表しているものと想定してい
る。
【0098】 モデリング後、三つのオフライン式モデルを利用して、スパイク制御器96と
プロファイル制御器98とウェハ制御器100との三つの独立した、固有の制御
器をつくる。スパイク制御器96は入力としてスパイク熱電対測定値とスパイク
設定値との差を利用して、点火インターフェース108に電力設定値を出力する
。プロファイル制御器98は入力としてプロファイル測定値とプロファイル設定
値との差を利用して、スパイク制御器96にスパイク設定値を出力する。ウェハ
制御器100は入力としてオンライン式ウェハ温度モデルの予測値とウェハ設定
値との差を利用して、プロファイル制御器98にプロファイル設定値を出力する
。図示の実施形態では、各制御器96、98、100はH-無限最適制御理論を
用いることにより構築されている。詳述すれば、図示の実施形態においては、各
制御器は、加熱ゾーン間での相互作用を考慮して、所望昇温ないし設定値に対す
る改良された温度レスポンスを出すようになっている多変数制御器である。しか
しながら、他の制御論理を用いて各制御器を構築することもできるのは言うまで
もない。
【0099】 図示の実施形態において、制御モード論理回路は、素子制御モードを規定する
素子制御モード論理回路(図13)や、基本制御モードを規定する基本制御モード
論理回路(図14)や、ダイナミック制御モードを規定するダイナミック制御モー
ド論理回路(図15)、Dt制御モードを規定するDt制御モード論理回路(図1
6)を含む種々の制御モード論理回路から選択できる。各制御モード論理回路は
、制御器96、98、100のどれか一つ、または、組合せからなる。
【0100】 詳述すれば、温度サブシステム68は素子制御モード(図13)にスパイク制御
器96を利用している。温度サブシステム68は基本制御モード(図14)にプロ
ファイル制御器98とスパイク制御器96との組合せを利用している。更に、温
度サブシステム68は、ダイナミック制御モード(図15)にウェハ制御器100
とプロファイル制御器98とスパイク制御器96の組合せを利用している。最後
に、温度サブシステム68はDt制御モード(図16)に非線形Dtせいぎょき1
02をプロファイル制御器98とスパイク制御器96と共に利用している。
【0101】 ユーザは複数工程からなり、各工程でどれかの制御モードを利用する処方を用
意する。例えば、ユーザは、半導体処理のある段階で一方の制御モードから他の
制御モードへ切り換える処方を用意する。そのための一つの方法としては、温度
の昇温に先立つ反応炉のチェックとボート18の装填の時には基本制御モードを
、温度昇温と温度安定時にはウェハ制御モードを、ウェハ処理工程ではDt制御
モードを、そしてボート取出し時には基本制御モードをそれぞれ利用することが
考えられる。処理工程全般にわたって基本制御モードを利用する方法もあり得る
【0102】 素子制御モード0は一般に維持モード(例えば、素子で加熱)である。この素子
制御モードでは、スパイク制御器がスパイク熱電対温度に基づいて制御する。こ
のモードはウェハの正常処理では利用されない。
【0103】 基本制御モード(図14)はデフォルトの動作モードであって、加熱素子のトラ
ブルに関係のあるモードでもある。この基本制御モードにおいては、プロファイ
ル制御器98がプロファイル誤差に基づいてスパイク設定制御信号を出力する。
プロファイル誤差は、プロファイル温度設定値と、プロファイル熱電対42のプ
ロファイル温度測定値との差に基づく。スパイク制御器98は、スパイク誤差に
基づいて加熱反応炉への電力を制御する。スパイク誤差はスパイク設定値とスパ
イク熱電対36のスパイク温度測定値との差異に基づく。基本制御モードで、プ
ロセスの改良された一貫性と、高速安定化時間の起因するサイクルタイムの減少
をもたらす正確な制御ができる。基本制御モード論理回路は、プロファイル制御
器とスパイク制御器とをカスケードすることにより両者を同時に利用している。
【0104】 図2に示したように、101で示した加熱素子トラブル論理から制御器68に
一つかそれ以上の加熱素子トラブル信号が供給される。論理線103で示した加
熱素子トラブル信号は、加熱ゾーンのどれか一つにおける特定の加熱素子の故障
を表すものである。斯かるトラブルは幾つかの方法で検出できる。例えば、時間
の経過に伴って特定の加熱ゾーンについて得られる測定スパイク熱電対値の導関
数(derivative)をモニターしてトラブルを検出することもできる。この導関数の
絶対値が特定のスパイク熱電対について所定の閾値を越えた場合、当該ゾーンに
対応する加熱素子が故障しているものと見ることができる。その場合、加熱素子
トラブル信号が制御器68に対して出力されて対応する加熱素子のトラブルを報
知するようにしてもよい。
【0105】 加熱素子トラブルを検出する他の方法としては、スパイク設定値とスパイク熱
電対の測定温度との差を測定することが挙げられる。この場合、その差が特定の
ゾーンの所定閾値を越えた場合、そのゾーンの加熱素子が故障しているものと報
知するのに利用できる。
【0106】 加熱素子のトラブルを検出する他の方法としては、特定の加熱ゾーンにおける
加熱素子に印加されている電流ないし電圧を測定することがある。電流が特定の
加熱素子についての所定閾値を下った場合、その加熱素子にトラブルが発生して
いることとなる。同様に、特定の加熱素子に印加されている電圧が所定閾値を越
えた場合でも、その加熱素子にトラブルが発生していることとなる。
【0107】 制御器68が一つかそれ以上の加熱ゾーンにおける加熱素子にトラブルが発生
したと検出すると、制御器68は図13に示した素子制御モードに切り換える。
また、この素子制御モードにあったは、トラブルを起こしている加熱素子のある
加熱ゾーンのスパイク設定値が下がる。好ましくは、このトラブルの発生してい
る加熱ゾーンに対するスパイク設定値はスパイク熱電対36の測定値でドロップ
されているのが望ましい。
【0108】 このように加熱素子のトラブルに対処すれば、幾つかの利点が得られる。例え
ば、このようなトラブル対処法で、加熱素子がトラブルから直って電力供給が再
開されたときに、常軌を逸していきなり高温度になるのを防ぐことができる。こ
のようの高温度の発生を防ぐことができれば、トラブルから回復したときに加熱
素子が直ちに設定値へと駆動されるときに発生しがちな温度オーバーシュートに
伴って起こるウェハのスリップを防ぐことができ、また、温度オーバーシュート
に伴って起こる過熱状態が再発するのを防ぐこともできる。更に、加熱素子にト
ラブルがあっても、母材28の損傷を防いだり、回収することもできる。
【0109】 プロファイル熱電対は負荷の端(at the edge of a load)で温度に対処できな
い。このような問題の解消法としては、プロファイル熱電対を短縮(shorten)し
て、当該プロファイル熱電対が発生する測定値で負荷の端での温度に対処するこ
とが挙げられる。ダイナミックないしウェハ制御モード(図15)は、プロファイ
ル熱電対を変えたり、或いは、ユーザの処方を調整したりするような必要もなく
前述の問題に対処することができる。
【0110】 ダイナミック制御モードでは、ウェハ制御器100がウェハ28の予測温度を
出力して、ウェハ28を所望ないし処方ウェハ温度に達するように制御する。こ
のダイナミック制御モードは、昇温段階と安定段階において利用してこそ最も好
都合である。このダイナミック制御モードでは、前述したようにモデリング時に
熱電対付きウェハ44を予め利用する必要がある。モデリング後では、制御シス
テムを利用しているときに、オンライン式温度予測モデル114を利用して予測
ウェハ温度に基づいてダイナミック制御モードで加熱反応炉12を制御する。オ
ンライン式ウェハ温度予測モデル114は、スパイク及びプロファイル熱電対3
6、42からの測定値に基づいてウェハ温度を出す。特に、ウェハ温度はスパイ
ク及びプロファイル熱電対36、42からの測定値と、熱電対付きウェハ44か
ら取り出した測定値(ウェハ温度を表している)とスパイク及びプロファイル熱電
対36、42から取り出した測定値とのモデリング時の関係とに基づいて出され
る。
【0111】 このダイナミック制御モードでは、ウェハ制御器100はウェハエラーに基づ
いてプロファイル設定値を出す。このウェハエラーは、プロファイル温度設定値
と、プロファイル熱電対42によるプロファイル温度測定値との差に基づいてい
る。プロファイル制御器はプロファイル誤差に基づいてスパイク設定制御信号を
出す。プロファイル誤差は、ウェハ制御器100が出すプロファイル温度設定値
とプロファイル熱電対によるプロファイル温度測定値との差に基づいている。ス
パイク制御器は、スパイク誤差に基づいて加熱反応炉への電力を制御する。この
スパイク誤差は、スパイク設定値とスパイク熱電対36によるスパイク温度測定
値との差に基づいている。ダイナミック制御モードでは、互いにカスケード接続
したスパイク制御器96、プロファイル制御器98、ウェハ制御器100とが用
いられる。
【0112】 Dtないし熱供給モード(Dt or thermal budget mode)では、Dt制御器10
2が熱爆射量ないし照射熱エネルギーを測定して、設定値ないし所望エネルギー
に対してエネルギーを制御する。熱供給量(thermal budget)は、プロファイル熱
電対42による測定値を利用して、kをボルツマン定数、Tをプロファイル熱電
対42による温度測定値とするe(-2/kT)の積分をとることにより、Dt値が所
望エネルギーとなるように制御される。加熱反応炉に出力すべきエネルギーの計
算を行って、この加熱反応炉に出力するエネルギーを制御する。この熱供給モー
ドは、Dt値を一貫して負荷降下時と操業毎(both down the load and run-to-r
un)に維持するために重量な処理工程時に熱供給量を制御するのに最も有意的に
利用される。
【0113】 Dt制御モード(図8)においては、Dt制御器102がエネルギー誤差に基づ
いてプロファイル設定値を出す。このエネルギー誤差は、エネルギー設定値と測
定エネルギーとの差に基づいている。プロファイル制御器98はプロファイル誤
差に基づいてスパイク設定制御信号を出す。プロファイル誤差は、Dt制御器が
出すプロファイル温度設定値とプロファイル熱電対42によるプロファイル温度
測定値との差に基づいている。スパイク制御器96は、スパイク誤差に基づいて
加熱反応炉への電力を制御する。このスパイク誤差は、スパイク設定値とスパイ
ク熱電対36によるスパイク温度測定値との差に基づいている。
【0114】 ここまで説明したシステムには、本発明が示唆する基本的構成から逸脱するこ
となく種々の改変が考えられる。本発明は一つかそれ以上の特定の実施形態につ
いて詳述したが、当業者には本発明の請求の範囲から逸脱することなく、種々の
改変が想到できるところである。
【図面の簡単な説明】
【図1】 従来の温度制御システムを利用した場合に通常発生する温度オー
バーシュートを示す反応炉温度-時間特性図。
【図1A】 本発明の温度制御システムを用いて制御しうる加熱反応炉の部
分断面側面図。
【図1B】 熱電対付きウェハを用いた、モデリング及び特定化時に制御さ
れる図1Aの加熱反応炉システムの部分断面側面図。
【図2】 本発明の温度制御システムを含む制御システム全体の好ましいア
ーキテクチャを示すブロック図。
【図3】 図2の制御システムと共に利用しうる処理シーケンスシステムと
ガス供給インターフェースとの一実施形態を示す概略ブロック図。
【図4】 本発明の一実施形態により構成した温度制御システムの動作を示
す論理フローチャート。
【図5】 図4のシステムを構成するのに利用しうる、H-無限制御論理を
利用した制御器の動作を示す論理フローチャート。
【図6】 温度オーバーシュートを有する温度制御システムの一実施態様の
動作を示す論理フローチャート。
【図7】 昇温段階に温度オーバーシュートを制限するために温度制御シス
テムの制御器の入力端に適用する修正温度勾配関数の一実施形態を示すグラフ。
【図8】 昇温段階に温度オーバーシュートを制限するために温度制御シス
テムの制御器の入力端に適用する修正温度勾配関数の別実施形態を示すグラフ。
【図9】 昇温段階に温度オーバーシュートを制限するために温度制御シス
テムの制御器の入力端に適用する修正温度勾配関数のまた別の実施形態を示すグ
ラフ。
【図10】 図9に示した曲線の形状に対する最小勾配値の影響を示すグラ
フ。
【図11】 バーチャル熱電対論理を有する温度制御システムの一実施形態
の動作を示す論理フローチャート。
【図12】 図11に示したシステム全体で利用するのに適したバーチャル
熱電対論理の一実施形態を示す論理フローチャート。
【図13】 スパイク制御器を利用する素子制御モードの一実施形態を示す
制御図。
【図14】 図13のスパイク制御器とプロファイル制御器とを利用する基
本制御モードの一実施形態を示す制御図。
【図15】 図13のスパイク制御器と図14のプロファイル制御器とウェ
ハ制御器とを利用するダイナミック制御モード論理回路の一実施形態を示す制御
図。
【図16】 図14のプロファイル制御器と図15のスパイク制御器とDt
制御器とを利用するDt制御モード論理回路の一実施形態を示す制御図。
【符号の説明】
10…加熱反応システム 12…加熱反応炉 26…ウェハ 30…加熱素子 32…加熱ゾーン 36…スパイク熱電対 42…プロファイル熱電対
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成12年8月21日(2000.8.21)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正内容】
【特許請求の範囲】
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H05B 3/00 310 H05B 3/00 310D (31)優先権主張番号 60/085,257 (32)優先日 平成10年5月13日(1998.5.13) (33)優先権主張国 米国(US) (31)優先権主張番号 60/086,932 (32)優先日 平成10年5月27日(1998.5.27) (33)優先権主張国 米国(US) (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),CN,JP,K R,SG,US (72)発明者 コンスタンティノス・ツァカリス アメリカ合衆国85226アリゾナ州チャンド ラー、ウエスト・アイバンホー・ストリー ト3516番 Fターム(参考) 3K058 AA12 BA19 CA12 CA69 CB13 4K056 AA09 CA18 FA02 FA13 5F045 AA06 AA20 AF03 BB20 EK09 EK22 EK27 EM08 EN05 GB05 GB06 GB16 5H323 AA27 AA40 BB05 CA06 CB02 CB42 DA01 EE05 FF01 FF10 GG02 KK05 LL11 LL12 LL18 LL22 LL27 MM06 QQ02 SS02 TT10

Claims (21)

    【特許請求の範囲】
  1. 【請求項1】 加熱反応炉の温度を制御する温度制御システムであって、 第1温度範囲にわたって第1組の制御出力値を算出するために最適化した第1
    温度制御器と、 前記第1温度範囲とは異なる第2温度範囲にわたって第2組の制御出力値を算
    出するために最適化した第2温度制御器と、 加熱反応炉の温度を制御するに当たって何れの組の制御値を利用すべきかを判
    定する制御出力選択論理とからなる温度制御システム。
  2. 【請求項2】 加熱反応炉の温度を制御する温度制御システムであって、 第1温度範囲にわたって第1組の制御出力値を算出するために最適化した第1
    温度制御器と、 前記第1温度範囲とは異なる第2温度範囲にわたって第2組の制御出力値を算
    出するために最適化した第2温度制御器と、 反応炉の温度を制御するのに前記第2組の制御値を利用した場合に前記第2温
    度制御器の制御値へと前記第1温度制御器の制御値を駆動するが、反応炉の温度
    を制御するのに前記第1組の制御値を利用した場合に前記第1温度制御器の制御
    値へと前記第2温度制御器の制御値を駆動する監視者利得フィードバックシステ
    ムとからなる温度制御システム。
  3. 【請求項3】 加熱反応炉の温度を制御する温度制御システムであって、 第1温度範囲にわたって第1組の制御値をほぼ最適値とするために前記第1温
    度範囲にわたって最適化されている第1ダイナミックモデルを複数の測定入力パ
    ラメータに適用して前記第1組の制御値を生成する第1温度制御器と、 前記第1温度範囲とは異なる第2温度範囲にわたって第2組の制御値をほぼ最
    適値とするために前記第2温度範囲にわたって最適化されている第2ダイナミッ
    クモデルを複数の測定入力パラメータに適用して前記第2組の制御値を生成する
    第2温度制御器と、 加熱反応炉の温度を制御するに当たって何れの組の制御値を利用すべきかを判
    定する制御出力選択論理とからなる温度制御システム。
  4. 【請求項4】 加熱反応炉の温度を制御する温度制御システムであって、 第1温度範囲にわたって第1組の制御値をほぼ最適値とするために前記第1温
    度範囲にわたって最適化されている第1ダイナミックモデルを複数の測定入力パ
    ラメータに適用して前記第1組の制御値を生成する第1温度制御器と、 前記第1温度範囲とは異なる第2温度範囲にわたって第2組の制御値をほぼ最
    適値とするために前記第2温度範囲にわたって最適化されている第2ダイナミッ
    クモデルを複数の測定入力パラメータに適用して前記第2組の制御値を生成する
    第2温度制御器と、 反応炉の温度を制御するのに前記第2組の制御値を利用した場合に前記第2温
    度制御器の制御値へと前記第1温度制御器の制御値を駆動するが、反応炉の温度
    を制御するのに前記第1組の制御値を利用した場合に前記第1温度制御器の制御
    値へと前記第2温度制御器の制御値を駆動する監視者利得フィードバックシステ
    ムとからなる温度制御システム。
  5. 【請求項5】 加熱反応炉の温度を制御する温度制御システムであって、 加熱反応炉の一つかそれ以上の加熱素子を制御するために一つかそれ以上の温
    度入力値に応答する制御器と、 加熱処方に対応する温度勾配変移の設定値を出す温度設定入力論理と、 前記設定入力論理に応答して前記制御器に一つかそれ以上の温度入力値を出す
    温度値発生器とからなる温度制御システム。
  6. 【請求項6】 請求項5に記載のものであって、前記温度値発生器が少なく
    とも最大昇温値に基づいて制御器に対する一つかそれ以上の温度入力値を判定す
    ることよりなる温度制御システム。
  7. 【請求項7】 請求項5に記載のものであって、前記温度値発生器が少なく
    とも最小昇温値に基づいて制御器に対する一つかそれ以上の温度入力値を判定す
    ることよりなる温度制御システム。
  8. 【請求項8】 請求項5に記載のものであって、前記温度値発生器が少なく
    とも最大減温値に基づいて制御器に対する一つかそれ以上の温度入力値を判定す
    ることよりなる温度制御システム。
  9. 【請求項9】 請求項5に記載のものであって、前記温度値発生器が少なく
    とも最小減温値に基づいて制御器に対する一つかそれ以上の温度入力値を判定す
    ることよりなる温度制御システム。
  10. 【請求項10】 加熱反応炉の温度を制御する温度制御システムであって、 加熱反応炉の一つかそれ以上の加熱素子を制御するために一つかそれ以上の温
    度入力値に応答する制御器と、 加熱処方に対応する温度勾配変移の設定値を出す温度設定入力論理と、 前記設定入力論理に応答して前記制御器に一つかそれ以上の温度入力値を出す
    温度値発生器とからなり、前記一つかそれ以上の温度入力値が、加熱昇温サイク
    ルの中間時では最大昇温限度により、また、加熱昇温サイクルの終了もしくは開
    始時の何れか一方、または、両方においては最小昇温限度によりそれぞれ定まる
    ことよりなる温度制御システム。
  11. 【請求項11】 請求項10に記載のものであって、前記最大昇温限度は、
    加熱処方を入力することによりプログラム化しうることよりなる温度制御システ
    ム。
  12. 【請求項12】 請求項10に記載のものであって、前記最小昇温限度は、
    加熱処方を入力することによりプログラム化しうることよりなる温度制御システ
    ム。
  13. 【請求項13】 加熱反応炉の温度を制御する温度制御システムであって、 加熱反応炉に関連する温度を測定するように配置した複数の温度検出器と、 前記複数の温度検出器の内の少なくとも一つのトラブルを検出するように配置
    した温度検出器トラブル論理と、 前記複数の温度検出器の内の少なくとも一つの温度検出器を、残りの温度検出
    器からの入力に基づいて追跡する少なくとも一つの出力を有するバーチャル温度
    検出器モデルと、 前記複数の温度検出器に応答する制御器と、 温度検出器トラブル論理による少なくとも一つの温度検出器によるトラブル検
    出に応答して、トラブルを起こした温度検出器に代わってバーチャル温度検出器
    モデル回路に置換して前記制御器に入力させる置換論理回路とからなる温度制御
    システム。
  14. 【請求項14】 請求項13に記載のものであって、前記複数の温度検出器
    が熱電対からなる温度制御システム。
  15. 【請求項15】 請求項13に記載のものであって、前記複数の温度検出器
    がプロファイル検出器とスパイク検出器とからなる温度制御システム。
  16. 【請求項16】 請求項15に記載のものであって、前記バーチャル温度検
    出器モデル回路がプロファイル検出器をモデルにしてなる温度制御システム。
  17. 【請求項17】 請求項15に記載のものであって、前記バーチャル温度検
    出器モデル回路が、スパイク検出器からの入力に基づいてプロファイル検出器を
    モデルにしてなる温度制御システム。
  18. 【請求項18】 加熱反応炉の温度を制御する温度制御システムであって、 基本制御モードと素子制御モードとを有する制御器と、 加熱反応炉の一つかそれ以上の加熱素子のトラブルを検出するように配置され
    た加熱素子トラブル検出器とからなり、前記制御器は加熱素子トラブル検出器に
    応答して、一つかそれ以上の加熱素子のトラブルの検出時に素子制御モードで動
    作することよりなる温度制御システム。
  19. 【請求項19】 請求項18に記載のものであって、前記加熱素子トラブル
    検出器が、加熱素子に供給される電力を表す一つかそれ以上の信号をモニターす
    ることにより、加熱素子のトラブルを検出することよりなる温度制御システム。
  20. 【請求項20】 請求項18に記載のものであって、前記加熱素子トラブル
    検出器が、加熱反応炉内の特定の加熱ゾーンに対して出力される測定スパイク温
    度検出器の導関数を算出して、算出した導関数の絶対値を所定の閾値と比較する
    ことにより加熱素子のトラブルを検出することよりなる温度制御システム。
  21. 【請求項21】 請求項18に記載のものであって、前記加熱素子トラブル
    検出器が、スパイク設定値とスパイク温度検出器により測定された温度との差を
    算出して、当該差を所定の閾値と比較することにより加熱素子のトラブルを検出
    することよりなる温度制御システム。
JP2000548914A 1998-05-11 1999-05-11 加熱反応炉の温度制御システム Pending JP2002515648A (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US8490798P 1998-05-11 1998-05-11
US8490998P 1998-05-11 1998-05-11
US8525798P 1998-05-13 1998-05-13
US8693298P 1998-05-27 1998-05-27
US60/086,932 1998-05-27
US60/084,909 1998-05-27
US60/084,907 1998-05-27
US60/085,257 1998-05-27
PCT/US1999/010329 WO1999059196A1 (en) 1998-05-11 1999-05-11 Temperature control system for a thermal reactor

Publications (1)

Publication Number Publication Date
JP2002515648A true JP2002515648A (ja) 2002-05-28

Family

ID=27491914

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000548914A Pending JP2002515648A (ja) 1998-05-11 1999-05-11 加熱反応炉の温度制御システム

Country Status (6)

Country Link
US (4) US6211495B1 (ja)
EP (1) EP1093664A4 (ja)
JP (1) JP2002515648A (ja)
KR (1) KR20010071235A (ja)
TW (1) TW446995B (ja)
WO (1) WO1999059196A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040042874A (ko) * 2002-11-14 2004-05-20 에이에스엠 인터내셔널 엔.붸. 하이브리드 캐스케이드 모델 기반의 예측 제어 시스템
JP2007088394A (ja) * 2005-09-26 2007-04-05 Hitachi Kokusai Electric Inc 基板処理装置
JP2012190344A (ja) * 2011-03-11 2012-10-04 Ihi Corp 制御装置
JP2013149916A (ja) * 2012-01-23 2013-08-01 Tokyo Electron Ltd 熱処理装置及び熱処理装置の制御方法
JP2017004310A (ja) * 2015-06-11 2017-01-05 株式会社ミクニ 流量制御装置、流量制御方法
JP2020181259A (ja) * 2019-04-23 2020-11-05 東京エレクトロン株式会社 制御方法、計測方法、制御装置及び熱処理装置

Families Citing this family (367)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10640425B2 (en) 1996-01-19 2020-05-05 Romeo Ilarian Ciuperca Method for predetermined temperature profile controlled concrete curing container and apparatus for same
KR20010071235A (ko) 1998-05-11 2001-07-28 세미툴 인코포레이티드 열반응기용 온도 제어 시스템
JP4551515B2 (ja) * 1998-10-07 2010-09-29 株式会社日立国際電気 半導体製造装置およびその温度制御方法
JP4426024B2 (ja) * 1999-09-02 2010-03-03 東京エレクトロン株式会社 熱処理装置の温度校正方法
US7148153B2 (en) * 2000-06-20 2006-12-12 Agere Systems Inc. Process for oxide fabrication using oxidation steps below and above a threshold temperature
GB0019176D0 (en) * 2000-08-05 2000-09-27 Cambridge Material Science Lim Monitoring thermal events
US7024253B2 (en) * 2000-08-21 2006-04-04 Honeywell International Inc. Auto-tuning controller using loop-shaping
US6492625B1 (en) * 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
TW522292B (en) 2001-02-06 2003-03-01 Asml Us Inc Inertial temperature control system and method
US6462313B1 (en) * 2001-02-20 2002-10-08 Micron Technology, Inc. Method and apparatus to control temperature in an RTP system
JP2004519855A (ja) * 2001-03-08 2004-07-02 エイエスエムエル ユーエス インコーポレイテッド 放射デルタ温度を制御するためのシステム及び方法
US6864466B2 (en) 2001-03-08 2005-03-08 Aviza Technology, Inc. System and method to control radial delta temperature
CA2441212A1 (en) * 2001-03-12 2002-10-10 Novozymes Biotech, Inc. Methods for isolating genes from microorganisms
EP1256973B1 (en) * 2001-04-12 2004-12-29 Infineon Technologies SC300 GmbH & Co. KG Heating system and method for heating a reactor
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
JP2003074468A (ja) * 2001-08-31 2003-03-12 Toshiba Corp 真空排気システム及びその監視・制御方法
TW200416772A (en) * 2002-06-06 2004-09-01 Asml Us Inc System and method for hydrogen-rich selective oxidation
KR20040003885A (ko) * 2002-07-04 2004-01-13 삼성전자주식회사 확산로 온도 검출장치
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
DE10261016A1 (de) * 2002-12-24 2004-07-08 Robert Bosch Gmbh Steckkontrolle zur Detektion einer korrekt ausgeführten elektrischen Steckverbindung
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7619184B2 (en) * 2003-03-04 2009-11-17 Micron Technology, Inc. Multi-parameter process and control method
US7311810B2 (en) * 2003-04-18 2007-12-25 Applied Materials, Inc. Two position anneal chamber
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US6983223B2 (en) * 2003-04-29 2006-01-03 Watlow Electric Manufacturing Company Detecting thermocouple failure using loop resistance
US7087443B2 (en) * 2003-07-29 2006-08-08 Brooks Automation, Inc. Optimized temperature controller for cold mass introduction
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7274007B2 (en) * 2003-09-25 2007-09-25 W.E.T. Automotive Systems Ltd. Control system for operating automotive vehicle components
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP4570909B2 (ja) * 2004-06-04 2010-10-27 富士重工業株式会社 電気加熱触媒の故障診断装置
US20060042278A1 (en) * 2004-08-31 2006-03-02 Thermo King Corporation Mobile refrigeration system and method of detecting sensor failures therein
TWI240953B (en) * 2004-10-29 2005-10-01 Mosel Vitelic Inc Monitoring method for furnace apparatus
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7459175B2 (en) * 2005-01-26 2008-12-02 Tokyo Electron Limited Method for monolayer deposition
US7838072B2 (en) * 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US7441949B2 (en) * 2005-12-16 2008-10-28 Micron Technology, Inc. System and method for providing temperature data from a memory device having a temperature sensor
US7340377B2 (en) * 2006-03-31 2008-03-04 Tokyo Electron Limited Monitoring a single-wafer processing system
US7302363B2 (en) * 2006-03-31 2007-11-27 Tokyo Electron Limited Monitoring a system during low-pressure processes
US7526699B2 (en) * 2006-03-31 2009-04-28 Tokyo Electron Limited Method for creating a built-in self test (BIST) table for monitoring a monolayer deposition (MLD) system
US7519885B2 (en) * 2006-03-31 2009-04-14 Tokyo Electron Limited Monitoring a monolayer deposition (MLD) system using a built-in self test (BIST) table
US7473032B2 (en) * 2006-06-30 2009-01-06 Honeywell International Inc. System and method for enabling temperature measurement using a pyrometer and pyrometer target for use with same
US8375891B2 (en) * 2006-09-11 2013-02-19 Ulvac, Inc. Vacuum vapor processing apparatus
DE102008014153B4 (de) * 2007-03-14 2014-10-16 Phoenix Contact Gmbh & Co. Kg Verfahren, Steuergerät und Steuerungssystem zur Steuerung eines Automatisierungssystems
SE0700910L (sv) * 2007-04-13 2008-10-14 Aga Ab Förfarande för att mäta temperaturen i en ugn
US7746087B2 (en) * 2007-07-13 2010-06-29 Pericom Technology Inc. Heating-control isolation-diode temperature-compensation
US20090039070A1 (en) * 2007-08-06 2009-02-12 Jung-Wen Tseng Semiconductor equipment and breakdown precautionary system and method thereof
US7951728B2 (en) * 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US8374709B2 (en) * 2008-03-03 2013-02-12 Alstom Technology Ltd Control and optimization system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5734081B2 (ja) * 2010-10-18 2015-06-10 株式会社日立国際電気 基板処理装置、基板処理装置の温度制御方法、及び基板処理装置の加熱方法
US8950470B2 (en) * 2010-12-30 2015-02-10 Poole Ventura, Inc. Thermal diffusion chamber control device and method
US20120168143A1 (en) * 2010-12-30 2012-07-05 Poole Ventura, Inc. Thermal Diffusion Chamber With Heat Exchanger
JP2013008950A (ja) * 2011-05-23 2013-01-10 Panasonic Corp 光源装置および画像表示装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8793004B2 (en) * 2011-06-15 2014-07-29 Caterpillar Inc. Virtual sensor system and method for generating output parameters
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP2774012B1 (en) * 2011-11-03 2020-02-12 Raytheon Company Genset with integrated resistive loadbank system to provide short pulse duration power
US20130301673A1 (en) * 2012-05-04 2013-11-14 Jason Mobley Method and Apparatus for Measuring, Storing and Retrieving Food Temperature Data
US9387032B2 (en) * 2012-06-18 2016-07-12 Medtronic Ablation Frontiers Llc Systems and methods for detecting channel faults in energy delivery systems
US9740214B2 (en) 2012-07-23 2017-08-22 General Electric Technology Gmbh Nonlinear model predictive control for chemical looping process
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8701073B1 (en) * 2012-09-28 2014-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for across-chip thermal and power management in stacked IC designs
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8772055B1 (en) * 2013-01-16 2014-07-08 Applied Materials, Inc. Multizone control of lamps in a conical lamphead using pyrometers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9343903B2 (en) * 2013-03-14 2016-05-17 Mark Hauenstein Methods and systems architecture to virtualize energy functions and processes into a cloud based model
US9454158B2 (en) 2013-03-15 2016-09-27 Bhushan Somani Real time diagnostics for flow controller systems and methods
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10145013B2 (en) 2014-01-27 2018-12-04 Veeco Instruments Inc. Wafer carrier having retention pockets with compound radii for chemical vapor desposition systems
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10006717B2 (en) * 2014-03-07 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking system and method of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11513042B2 (en) * 2015-01-26 2022-11-29 SPEX SamplePrep, LLC Power-compensated fusion furnace
US10240870B2 (en) 2015-01-26 2019-03-26 Spex Sample Prep, Llc Method for operating a power-compensated fusion furnace
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10082848B2 (en) * 2015-04-06 2018-09-25 Dell Products L.P. Systems and methods for thermal adaptation for virtual thermal inputs in a chassis infrastructure
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
DE102016111065A1 (de) * 2016-06-16 2017-12-21 Iav Gmbh Ingenieurgesellschaft Auto Und Verkehr Regelverfahren mit modellbasiertem Anti-Windup
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10983537B2 (en) 2017-02-27 2021-04-20 Flow Devices And Systems Inc. Systems and methods for flow sensor back pressure adjustment for mass flow controller
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
FI128841B (en) * 2018-03-22 2021-01-15 Univ Helsinki Sensor calibration
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
EP4197287A1 (en) * 2020-08-12 2023-06-21 Watlow Electric Manufacturing Company Method and system for providing variable ramp-down control for an electric heater
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2024044501A (ja) * 2022-09-21 2024-04-02 株式会社東芝 磁気ディスク装置

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU7972982A (en) 1981-01-29 1982-08-05 Varian Techtron Pty. Ltd. Voltage feedback temperature control
US4496940A (en) 1982-01-15 1985-01-29 Pall Corporation Sensing and indicator system for detecting heating element failures
JPS592318A (ja) * 1982-06-28 1984-01-07 Toshiba Mach Co Ltd 半導体気相成長装置
JPS61145606A (ja) * 1984-12-19 1986-07-03 Ohkura Electric Co Ltd 干渉対応形パタ−ン切換式温度制御装置
JPS61196515A (ja) * 1985-02-26 1986-08-30 Mitsubishi Electric Corp 帯域溶融型半導体製造装置
US4716520A (en) * 1986-01-22 1987-12-29 Nordson Corporation Method of checking channel connections and detecting heater circuit and temperature sensor malfunctions in multi-channel closed loop hot melt heating systems
US5001327A (en) 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
KR970008334B1 (en) 1988-02-24 1997-05-23 Tokyo Electron Sagami Kk Method and apparatus for heat treatment method
JPH01246838A (ja) * 1988-03-28 1989-10-02 Nec Corp 半導体基板の熱処理方法
JPH0268611A (ja) * 1988-09-05 1990-03-08 Omron Tateisi Electron Co 温度調節器
US5228114A (en) * 1990-10-30 1993-07-13 Tokyo Electron Sagami Limited Heat-treating apparatus with batch scheme having improved heat controlling capability
US5280422A (en) * 1990-11-05 1994-01-18 Watlow/Winona, Inc. Method and apparatus for calibrating and controlling multiple heaters
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5359693A (en) * 1991-07-15 1994-10-25 Ast Elektronik Gmbh Method and apparatus for a rapid thermal processing of delicate components
US5270520A (en) 1991-09-23 1993-12-14 Helen Of Troy Corporation Hair styling appliances and heater control circuits therefor
JPH05190472A (ja) * 1992-01-17 1993-07-30 Kokusai Electric Co Ltd Cvd装置
JPH0666484A (ja) * 1992-08-14 1994-03-08 Ulvac Japan Ltd 熱処理装置
US5340964A (en) 1992-09-29 1994-08-23 Cincinnati Milacron Inc. Method and apparatus for monitoring electrical loads
US5442157A (en) 1992-11-06 1995-08-15 Water Heater Innovations, Inc. Electronic temperature controller for water heaters
US5378874A (en) 1993-04-05 1995-01-03 Whirlpool Corporation Diagnostic method and apparatus for a domestic appliance
US5616264A (en) 1993-06-15 1997-04-01 Tokyo Electron Limited Method and apparatus for controlling temperature in rapid heat treatment system
US5517549A (en) 1993-12-03 1996-05-14 Telefonaktiebolaget L M Ericcson Call logging in cellular subscriber stations
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
JP3553146B2 (ja) 1994-08-22 2004-08-11 本田技研工業株式会社 電気加熱式触媒制御装置
US5517594A (en) * 1994-10-17 1996-05-14 Relman, Inc. Thermal reactor optimization
GB9500109D0 (en) 1995-01-05 1995-03-01 Scapa Group Plc Apparatus for cleaning papermachine clothing
US5663899A (en) * 1995-06-05 1997-09-02 Advanced Micro Devices Redundant thermocouple
JP3602614B2 (ja) * 1995-07-04 2004-12-15 本田技研工業株式会社 内燃機関の排気ガス浄化装置
JP3344220B2 (ja) 1996-06-25 2002-11-11 トヨタ自動車株式会社 空燃比センサのヒータ制御装置
US5790437A (en) * 1996-11-26 1998-08-04 Watlow Electric Manufacturing Company Graphical interface for programming ramping controllers
US5895596A (en) * 1997-01-27 1999-04-20 Semitool Thermal Model based temperature controller for semiconductor thermal processors
US5994675A (en) 1997-03-07 1999-11-30 Semitool, Inc. Semiconductor processing furnace heating control system
US5947718A (en) 1997-03-07 1999-09-07 Semitool, Inc. Semiconductor processing furnace
DE19711702C1 (de) * 1997-03-20 1998-06-25 Siemens Ag Anordnung zur Bearbeitung einer Substratscheibe und Verfahren zu deren Betrieb
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
KR20010071235A (ko) 1998-05-11 2001-07-28 세미툴 인코포레이티드 열반응기용 온도 제어 시스템

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040042874A (ko) * 2002-11-14 2004-05-20 에이에스엠 인터내셔널 엔.붸. 하이브리드 캐스케이드 모델 기반의 예측 제어 시스템
JP2004164648A (ja) * 2002-11-14 2004-06-10 Asm Internatl Nv ハイブリッドカスケードモデルベース予測制御システム
JP2007088394A (ja) * 2005-09-26 2007-04-05 Hitachi Kokusai Electric Inc 基板処理装置
JP2012190344A (ja) * 2011-03-11 2012-10-04 Ihi Corp 制御装置
JP2013149916A (ja) * 2012-01-23 2013-08-01 Tokyo Electron Ltd 熱処理装置及び熱処理装置の制御方法
JP2017004310A (ja) * 2015-06-11 2017-01-05 株式会社ミクニ 流量制御装置、流量制御方法
JP2020181259A (ja) * 2019-04-23 2020-11-05 東京エレクトロン株式会社 制御方法、計測方法、制御装置及び熱処理装置
JP7241597B2 (ja) 2019-04-23 2023-03-17 東京エレクトロン株式会社 制御方法、計測方法、制御装置及び熱処理装置
US11929269B2 (en) 2019-04-23 2024-03-12 Tokyo Electron Limited Control method, measurement method, control device, and heat treatment apparatus

Also Published As

Publication number Publication date
US6207937B1 (en) 2001-03-27
EP1093664A1 (en) 2001-04-25
TW446995B (en) 2001-07-21
WO1999059196A1 (en) 1999-11-18
KR20010071235A (ko) 2001-07-28
US6211495B1 (en) 2001-04-03
US6222164B1 (en) 2001-04-24
US6441350B1 (en) 2002-08-27
EP1093664A4 (en) 2003-07-09

Similar Documents

Publication Publication Date Title
JP2002515648A (ja) 加熱反応炉の温度制御システム
US11761087B2 (en) Substrate processing apparatus and non-transitory computer-readable recording medium
TWI382485B (zh) 熱處理裝置、自動調整控制常數之方法及儲存媒體
CN100576127C (zh) 温度调整方法、热处理设备以及半导体器件的制造方法
JP7461490B2 (ja) 温度制御方法、半導体装置の製造方法、プログラム及び基板処理装置
US6975917B2 (en) Heat treatment method and heat treatment device
JP4891987B2 (ja) 温度調整方法
JP2010118605A (ja) 基板処理装置および半導体装置の製造方法、温度制御方法
TW202030363A (zh) 自動校準程序獨立前饋控制
CN106653661A (zh) 一种热处理设备工艺门冷却系统及冷却方法
JP7189326B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP2004072030A (ja) 半導体製造装置
JP6917495B1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
KR100849012B1 (ko) 열처리 장치 및 열처리 방법
JP6358977B2 (ja) 熱処理装置、熱処理方法、及び、プログラム
KR20150086834A (ko) 고압가스 기반의 반도체기판 열처리를 위한 온도제어장치
US20230257883A1 (en) Substrate processing apparatus, recording medium, and method of manufacturing semiconductor device
US20220392813A1 (en) Control method and control apparatus
US20240014054A1 (en) Substrate processing apparatus and temperature regulation method
KR102452021B1 (ko) 온도 감시 장치, 열처리 장치 및 온도 감시 방법
JP2000183071A (ja) 基板加熱処理装置
KR20220165646A (ko) 온도 보정 정보 산출 장치, 반도체 제조 장치, 저장 매체, 및 온도 보정 정보 산출 방법
KR20220168981A (ko) 온도 보정 정보 산출 장치, 반도체 제조 장치, 프로그램, 온도 보정 정보 산출 방법
WO2024091405A1 (en) Methods, systems, and apparatus for monitoring radiation output of lamps
JP2022072048A (ja) 温度センサ、ヒータユニット、基板処理装置及び半導体装置の製造方法