TW446995B - Temperature control system for a thermal reactor - Google Patents

Temperature control system for a thermal reactor Download PDF

Info

Publication number
TW446995B
TW446995B TW088107656A TW88107656A TW446995B TW 446995 B TW446995 B TW 446995B TW 088107656 A TW088107656 A TW 088107656A TW 88107656 A TW88107656 A TW 88107656A TW 446995 B TW446995 B TW 446995B
Authority
TW
Taiwan
Prior art keywords
temperature
control
controller
control system
input
Prior art date
Application number
TW088107656A
Other languages
English (en)
Inventor
Paul R Mchugh
Kevin Stoddard
Konstantinos Tsakalis
Original Assignee
Semitool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semitool Inc filed Critical Semitool Inc
Application granted granted Critical
Publication of TW446995B publication Critical patent/TW446995B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/18Controlling or regulating
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B9/00Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity
    • F27B9/02Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity of multiple-track type; of multiple-chamber type; Combinations of furnaces
    • F27B9/021Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity of multiple-track type; of multiple-chamber type; Combinations of furnaces having two or more parallel tracks
    • F27B9/022With two tracks moving in opposite directions
    • F27B9/023With two tracks moving in opposite directions with a U turn at one end
    • F27B9/024With two tracks moving in opposite directions with a U turn at one end with superimposed tracks
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B9/00Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity
    • F27B9/30Details, accessories, or equipment peculiar to furnaces of these types
    • F27B9/40Arrangements of controlling or monitoring devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D19/00Arrangements of controlling devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D21/00Arrangements of monitoring devices; Arrangements of safety devices
    • F27D21/0014Devices for monitoring temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B9/00Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity
    • F27B9/06Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity heated without contact between combustion gases and charge; electrically heated
    • F27B9/062Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity heated without contact between combustion gases and charge; electrically heated electrically heated
    • F27B9/063Resistor heating, e.g. with resistors also emitting IR rays

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Feedback Control In General (AREA)
  • Control Of Temperature (AREA)
  • Control Of Resistance Heating (AREA)
  • Waste-Gas Treatment And Other Accessory Devices For Furnaces (AREA)

Description

4 4 〇 y s ^ A7 經濟部智慧財產局員工消費合作社印製 五、發明說明(/ ) 發明背景 半導體晶圓以及其他電子部件之處理在經濟的考量上 係已變得非常重要,這是由於所製造之此等電路與部件的 大容量以及與其相關之重要價値之故。競爭的壓力係已在 產量上造成戲劇性的變化。在最戲劇性的變化之中的是’ 在組成晶體三極管及被形成之其他裝置之電路與部件中’ 該電路與部件許多不同特點中在尺寸上的縮減。在特徵尺 寸上之此等縮減係爲藉由需要達成較大程度之集成以及更 複雜之電路所造成者,而在生產成本之降低則係藉由例如 是在每一個半導體晶圓或其他欲處理基板上獲得更多的電 路所造成者。 雖然使用於積體電路以及其他半導體部件中之特徵尺 寸係已戲劇性地縮減了,更大程度的縮減係繼續在從事中 。當特徵尺寸縮減時,在處理期間精確溫度控制之重要性 係增加至一個更大的程度。半導體晶圓以及其他基板在處 理時之溫度係在雜質之擴散上、在材料之沉積上、或是在 其他被執行之熱處理上具有第一順序的影響β因此,具有 一種能夠達成精確溫度控制來符合所期望熱處理技術要求 的處理設備是很重要的。 4 在半導體裝置之熱處理上所遭遇之溫度控制反饋問題 係可以經由不同的方式來思考。一種控制問題係包含使工 件溫度配合由使用者所設定之處理、處方"β該等、處方 "係包括有設定點溫度、溫度處理持續時間、溫度上升率 等等,此係界定了晶圓或是其他基板將要承受之整體熱處 J 4 I .- -----------1— ^ in----訂----I I I--^---- (請先閲讀背面之注意事項再护.本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(21〇χ 297公沒) A7 B7 經濟部智慧財產局貝工消費合作社印製 五、發明說明(>) 理。該等處方一般來說係爲使用者所設計者,並且係取決 於產生最終產品所需之特定熱處理需求。一個處方之每一 部分係可以經由三個不同的狀態來考慮。一個狀態係爲一 個上升狀態,其中,操作溫度係從一個較低程度的設定點 溫度上升或增大至一個較高程度的設定點溫度。一個更進 一步的狀態係爲下降狀態,其中,操作溫度係從一個較高 程度的設定點溫度下降或減小至一個較低程度的設定點溫 度。溫度之上升或下降狀態隨後係跟隨著一個時期,一個 所期望之設定點處理溫度係在此一時期期間被維持不變。 此等溫度不變狀態係包括有一個安定化時期,改變之溫度 上升情況在此一時期期間結束,並且達到一個保持不變的 溫度或是接近於保持不變的溫度。保持不變的溫度、上升 狀態、或是下降狀態在一個處理循環中係可能會發生一次 或是多次。最後,溫度控制問題係包含有從一個產量運轉 至另一個產量時能夠達成所期望之處方溫度以及達成相對 上一致之溫度。 無論是否使用簡單的溫度處方或是更複雜的溫度處方 ,處理之每一狀態係可以更進一步地藉由一種或是多種輔 助處理氣相處理成分之注入而被複雜化,其係會影響溫度 以及熱響應I 不同的溫度控制問題必須藉由一種熱處理控制系統而 被提出,如果其係想要達成微電子電路製造產業之逐漸嚴 厲的需求的話。舉例來說,在一批中的每一個晶圓在整個 熱處理循環中應該遭受相同的溫度狀況。如果沒有溫度控 ·-·. >B-— ......I -------------裳---I----訂---I-----線 (請先閱讀背面之注意事項再浐-本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 446 9 9 A7 B7 經濟部智慧財產局貝工消f合作社印製 五、發明說明) 制,溫度變異係會發生在被保持在處理爐中一個陣列之晶 圓的端點處的晶圓之間,此係在與被安置在爐子中間的晶 圓相較下所獲得之結果。也可能會有其他較無法預知之變 異產生在例如是沿著被容置在該處理陣列中之晶圓陣列中 〇 一個更進一步之溫度控制問題係相關於發生在個別晶 圓或是被處理工件之寬度上的溫度變異。來自於被安置在 工件周圍邊緣處之加熱元件的熱量係被放射通過處理容器 。變異係可能會在晶圓周圍邊緣而與晶圓內部區域所經歷 的熱量獲得相較之下而發生。在發生於一個個晶圓間之輻 射熱傳以及輻射遮蔽程度上的變異係更加地惡化了此等晶 圓內部的問題。 整體熱處理時間的最小化亦爲一個熱處理控制器必須 提出的課題。使處理時間最小化將典型地增大上升狀態溫 度改變率β枏反地,時間課題亦將增大下降狀態溫度改變 率。溫度改變之增大速率係會在上升與穩定狀態之間以及 在穩定溫度與溫度急速下降狀態之間的轉換程序期間,導 致維持處方溫度上的困難性。 在傳統中,半導體熱反應器係使用比例積分微分( PID,Proportional-Integral-Derivative)控制器來控制溫度。 最近,一種基於Η-^控制之更精確的溫度控制模型係已 被敘述並被實行在一個用於微電子電路製造的爐子中》此 等控制係被揭露並敘述在國際專利申請案W0 9 8/ 3 5 5 3 1號a用於半導體熱處瑝器之模型基礎溫度控制器〃 6 I1IIIIII1 — —— - · 1 1 <請先閲免背面之;£意項再产、本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) / 44699 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 該專利申請案係在此合併作爲本案之參考。 國際專利申請案W0 9 8 / 3 5 5 3 1號之控制系統 係包括有一種操作的較佳模式,其中,一種經由經驗上之 測試所製訂的動態模型係被使用,用以控制基於一個例如 由使用者所輸入之處方的熱處理循環。該動態模型通常係 取決於經驗上的測試,而此等測試係在一個預定的溫度範 圍中執行。此等預定的溫度範圍典型地係被選擇在爐子操· 作最頻繁之溫度的中間。選擇動態模型所製訂之預定溫度 的其他方式亦可以被使用》 本發明係已發現當實際爐子溫度或是處方之設定點溫 度係脫離了預定溫度範圍之外時,一個單一控制器設計之 準確性係會下降。對於一個單一預定溫度範圍使用一個單 一控制器設計係從而會將爐子之使用限制在設定點落在此 溫度範圍中之處理處方中。當執行在半導體晶圓或是其他 基板上之熱處理步驟係變得更加複雜時,一種在處理溫度 之動態範圍相當廣泛的情況下的精確熱處理控制係爲吾人 所需要者。 另一個溫度控制問題係包含有在執行一個藉由使用者 所設定之處方的期間零件故障的處理。在傳統中,用於熱 反應器之溫度控制的溫度控制系統係根據一個線性函數而 驅使該反應器到達所期望之設定點溫度。當反應器溫度係 以此方式而被驅使之時,反應器相對於時間之曲線圖係說 明於第一圖中。如同曲線圖中所顯示者,反應器之溫度係 在溫度控制器之前超過設定點溫度,其中該溫度控制器係 7 ϋ .1 n n ^11 I ^ 1 n I n ί I ^ - n —m ^ I I 1 ^-i-rej· n n / n ^ i ^ I I (請先閱讀背面之注意事項再填寫本頁) 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 446 9 9 A7 B7 五、發明說明(γ) 能夠在設定點調節反應器溫度。此等超越係可能以一種無 人所不期望之方式而大大地改變了半導體晶圓或是其他工 件之熱處理。此等超越係變得特別有問題,藉由使用在製 造次微米半導體裝置之處理技術而給定在熱反應器系統上 增大的需求。 另一個溫度控制問題係包含有在執行一個藉由使用者 所設定之處方的期間零件故障的處理。在處方之執行期間 ,溫度控制器大體上係依賴一個或是多個感應溫度輸入, 用以產生用以控制供應至加熱元件之電源,並且最終控制 反應器之溫度'所必要的控制輸出信號。當一個或是多個感 應溫度輸入係例如是由於溫度感應元件之零件故障而不準 確時,所產生之控制輸出信號同樣也是不準確的。 用於熱反應器溫度控制之溫度控制系統係可以根據一 個溫度感應元件之零件故障的偵測,而關閉反應器之操作 並從而中斷處方之執行。此將造成反應器完全的關閉以及 工件熱處理之中斷。給定用於半導體積體電路之嚴格熱處 理需求,此等中斷係可能會造成在處理下之半導體晶圓完 全的損失•此等損失可能是價値相當高的,尤其是在半導 體晶圓處於其處理之最後階段時。同樣地,當半導體晶圓 係爲大者(例如3 0 0毫米)時,此等損失可能是價値相 當高的。 —個更進一步的問題係爲加熱元件故障偵測與處理的 問題。本發明係承認加熱元件故障可能會因爲不同的暫時 狀況而發生,並且加熱元件係可能會在暫時狀況中止或是 8 本紙張尺度適用令國國家標準(CNS)A4規格(210 X 297公釐) --------I--I--裝--- (請先閱讀背面之注意事項再广'-本頁) 線- —i,· ·ΙΙ 煨濟部智慧財產扃員工消費合作社印製 446 9 9 5 經濟部智慧財產局貝工消费合作社印製 A7 B7 五、發明說明(t) 被改正之後而能夠再一次地正常操作。在此等狀況下,加 熱元件係可能會以一種適當的方式而被驅使至所期望之溫 度,並且因而損害了被處理之工件或是造成k熱元件更進 一步的故障(例如是超過溫度的狀況)。 本發明係承認先前所述之每一個問題,並且在本文中 提出一種能夠經由一種獨一無二並且有效率的方式而用於 具有此等問題之熱處理器的溫度控制系統。 發明槪要 在本文中係提出了一種用於控制熱反應器溫度之溫度 控制系統。該溫度控制系統係包括有一個第一溫度控制器 ,其係將一個第一動態模型應用至複數個被量測之輸入參 數,用以產生一個第一組控制數値。該第一動態模型係被 設計而使其能夠在一個第一溫度範圍中最佳化,用以提供 在第一溫度範圍中用於第一組控制數値之大體上最佳數値 。溫度控制系統亦包括有一個第二溫度控制器,其係將一 個第二動態模型應用至複數個被量測之輸入參數,用以產 生一個第二組控制數値。該第二動態模型係被設計而使其 能夠在一個第二溫度範圍中最佳化,用以提供在第二溫度 範圍.中用於第二組控制數値之大體上最佳數値。該第一溫 度範圍係不同於該第二溫度範圍。控制輸出選擇邏輯係被 設置,用於決定該第一組控制數値或是該第二組控制數値 ..係被使用來控制熱反應器之溫度。一個觀察增益反饋系統 係在該第二組控制數値係被使用來控制反應器之溫度時, 用於驅使該第一溫度控制器之控制數値朝向該第二溫度控 9 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公》) -------------裝------丨丨訂---------線 {請先閲1背面之注意事項再产、:本頁) 446 9 9 A7 B7 經濟部智慧財產局貝工消t合作社印製 五、發明說明) 制器之控制數値。同樣地,該觀察增益反饋系統係在該第 一組控制數値係被使用來控制反應器之溫度時,用於驅使 該第二溫度控制器之控制數値朝向該第一溫度控制器之控 制數値。當控制輸出選擇切換邏輯在該第一溫度控制器與 該第二溫度控制器之間切換反應器溫度之控制時,此等觀 察增益反饋系統係幫助了反應器溫度之最佳控制。此外, 溫度控制器係可以被附加用於覆蓋更進一步溫度範圍,並 且該觀察增益反饋係從而可以被擴張。 根據控制系統之另一個方面,如果相應之非虛擬溫度 感應器發生零件故障,則該控制系統係使用一個虛擬溫度 感應器。根據非虛擬溫度感應器之故障的偵測,該溫度控 制系統係自動地在其位置處替換一個虛擬溫度感應器作爲 —個控制系統的輸入》該虛擬溫度感應器邏輯係接收一個 或是多個被量測之輸入變數,並且將這些輸入變數應用至 一個動態模型,其係使所量測之輸入變數與一個虛擬溫度 感應器輸出數値相關聯,而該虛擬溫度感應器輸出數値係 近似於非虛擬溫度感應器在所量測之輸入變數所指出狀態 下的數値β在這樣的情況中,處理工作程序之執行係可以 繼續,並從而限制了因爲零件故障所造成最終被破壞之工 件的數目 根據溫度控制系統之再一個方面,該控制系統係被設 有增強的斜變軌道邏輯》此等邏輯係幫助了提供過調控制 ,並且更進一步地幫助了反應器整體操作之穩定。 根據溫度控制系統之再一個方面,該控制系統係被設 10 (請先閱水背面之注意事項再\、本頁) 言
本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 4469 9 5
經濟部智慧財產局員工消t合作社印製 五、發明說明(^ ) 有控制邏輯,如果加熱元件發生故障,該控制邏輯係切換 該系統之控制模式。再一次地,此係減少了整批工件在一 個或是多個加熱元件故障的情況下所造成之損失。 圖示簡單說明 第一圖係爲反應器溫度v s時間的圖表,其係說明了 使用習知溫度控制系統通常會發生的溫度過調; 第一A圖係爲一個熱反應器系統之一個局部截面側視 圖,該熱反應系統係可以使用本發明之溫度控制系統而被 控制; 第一B圖係爲第一A圖中熱反應器系統之一個局部截 面側視圖’其係在使用熱電偶所裝備之晶圓來進行模擬與 特徵化期間; 第二圖係爲一個方塊圖,其係說明了用於一個包含有 本發明溫度控制系統之整體控制系統的較佳結構; 第三圖係爲一個方塊圖,其係說明了可以連同第二圖 中控制器一起被使用之一個處理順序系統以及氣體界面的 實施例; 第四圖係爲一個邏輯流程圖,其係說明了根據本發明 一個實施例所建構之溫度控制器的操作; 第五圖係爲一個邏輯流程圖,其係說明了一個利用Η 〇〇控制邏輯之控制器的操作,其中該控制器係可以被使用 來建構第四圖中之系統; 第六圖係爲一個邏輯流程圖,其係說明了一個溫度控 制系統之一個實施例的操作,其中該溫度控制系統係具有 (讀先閲^背面之注意事項再厂"本頁> Τ 本紙張尺度通用甲國國家標準(CNS)A4規格(210 X 297公爱 .. · » 4 46 9 A7 ___B7_____ 五、發明說明(1 ) 溫度過調; --------------裝 i I (請先閱免背面之-注意事項再广-本頁) 第七圖係爲一個圖表,其係說明了一個已修正斜變函 數的一個實施例,此係可以被運用至溫度控制系統之控制 器的輸入,而限制在一個斜升溫度狀態期間之溫度過調; 第八圖係爲一個圖表,其係說明了一個已修正斜變函 數之一個更進一步的實施例,此係可以被運用至溫度控制 系統之控制器的輸入,而限制在一個斜升溫度狀態期間之 溫度過調;. 第九圖係爲一個圖表,其係說明了一個已修正斜變函 數之另一個更進一步的實施例,此係可以被運用至溫度控 制系統之控制器的輸入,而限制在一個斜升溫度狀態期間 之溫度過調; 第十圖保爲一個圖表,其係說明了最小斜變數値在第 九圖中顯示曲線形狀上之效應; 丨線' 第十一圖係爲一個邏輯流程圖,其係說明了溫度控制 系統之一個實施例的操作,其中該溫度控制系統係具有虛 擬熱電偶邏輯; 經濟部智慧財產局貝工消費合作社印製 第十二圖係爲一個邏輯流程圖,其係說明了虛擬熱電 偶邏輯之一個實施例,其中之虛擬熱電偶邏輯係適合用於 在第十一圖中所說明之整個系統中; 第十三圖係爲一個控制圖,其係說明了一個元件控制 模式邏輯電路之一個實施例,其中該元件控制模式邏輯電 路係利用一個峰値控制器; 第十四圖係爲一個控制圖,其係說明了一個基礎控制 —___ 12 ^紙張尺度適用中國困家標準(CNS)A4規格<210 X 297公釐) " 4 46 9 9 5 B7 經濟部智慧財產局員工消f合作社印製 五、發明說明(f 模式邏輯電路之一個實施例’其中該基礎控制模式邏輯電 路係利用一個分布控制器以及第十三圖中的峰値控制器; 第十五圖係爲一個控制圖’其係說明了一個動態控制 模式邏輯電路之一個實施例’其中該動態控制模式邏輯電 路係利用一個晶圓控制器、第十四圖中之分布控制器、以 及第十三圖中的峰値控制器;以及 第十六圖係爲一個控制圖,其係說明了一個D t控制 模式邏輯電路之一個實施例’其中該D t控制模式邏輯電 路係利用一個D t非線性控制器、第十四圖中之分布控制 器、以及第十五圖中的峰値控制器。 本發明夕詳細說明 一種用於一熱反應器之溫度控制系統係針對上文中所 提及之許多問題而在此揭露。根據所揭露控制系統的一個 方面,複數個溫度控制器係被使用。每一個溫度控制器係 使用一個或是多個動態模型,該動態模型係在一個給定溫 度範圍中被最隹化。一個特定控制器被最佳化之溫度範圍 較佳是大體上除了該複數個溫度控制器之其他控制器被最 佳化的溫度範圍之外。就這一點而論,多個控制器係可以 利用來控制反應器溫度。被使用來控制反應器溫度之多個 控制器係可以根據一個或多個輸入至溫度控制系統之輸入 參數。每一個控制器係包括有觀察增益反饋邏輯,其係使 多個控制器偶合在一起。該觀察增益反饋邏輯係導致控制 器輸出能夠追蹤接著被使用來控制反應器溫度之控制器輸 出。 _ 13 表紙張尺及適¥ t國國家標準<CNS>A4規格 1210 X" f V ··. -i;idl$l^EeE^SEEEEESlEHElBK lllllll — — — — — — * — — 1)111-^口、illlllllf (請先閲讀背面之江意事項再广-本頁) 4 4 6 9 9 5 經濟部智慧財產局員工消费合作社印製 A7 B7 五、發明說明u \ ) 根據控制系統之另一個方面,如果相應之非虛擬溫度 感應器發生零件故障,則該控制系統係使用一個虛擬溫度 感應器。根據非虛擬溫度感應器之故障的偵測’該溫度控 制系統係自動地在其位置處替換一個虛擬溫度感應器作爲 一個控制系統的輸入。該虛擬溫度感應器邏輯係接收一個 或是多個被量測之輸入變數,並且將這些輸入變數應用至 一個動態模型,其係使所量測之輸入變數與一個虛擬溫度 感應器輸出數値相關聯,而該虛擬溫度感應器輸出數値係 近似於非虛擬溫度感應器在所量測之輸入變數所指出狀態 下的數値。在這樣的情況中,處理工作程序之執行係可以 繼續,並從而限制了因爲零件故障所造成最終被破壞之工 件的數目。 根據溫度控制系統之再一個方面,該控制系統係設有 虛擬熱電偶邏輯。該虛擬熱電偶邏輯係根據一個或多個熱 電偶裝置之故障的偵測,而對故障的部件進行有效地替換 。此係減少了整批工件在一個或是多個溫度感應電路故障 的情況下所造成之損失β 根據溫度控制系統之再另外一個方面,該控制系統係 被設有控制邏輯,如果加熱元件發生故障,該控制邏輯係 切換該系統之控制模式。再一次地,此係減少了整批工件 在一個或是多個加熱元件故障的情況下所造成之損失。 本發明先前之所有方面係可以被組合成爲一個單一的 溫度控制器。或者,這些溫度控制系統改良係可以被合倂 作爲個別元件,而不需依賴在本文中所揭露之其他具發明 14 •---------------I 1 (請先閲讀背面之注意事項再C?本頁) 言 4¾
本紙張尺度適用中困0家標準(CNS)A4規格(210 X 297公釐) 4469 95 A7 B7 五、發明說明(fl) 性的方面° 迪毋I时#度控制器 第一A圖係說明了一個熱反應系統10之一個實施例 ,該熱反應系統10係可以使用本發明之溫度控制系統而 被控制。該熱反應系統1 〇係包括有一個熱反應器1 2。 雖然在第一圖中所顯示之反應器是在一個垂直的定向上, 該熱反應器12係爲可以被水平地或是垂直地定向者。 經濟部智慧財產局貝工消费合作社印製 ------------•裝·-- C請先閱11背面之注意事項再V"本頁) 該熱反應器1 2係包括有一個處理管件1 4,其係界 定了一個反應器容室。該處理管件1 4較好係爲由石英或 是碳化矽所製成者。在所說明之實施例中,該處理管件大 體上係爲一個具有一開口端部1 6之中空圓柱的形狀,並 且係具有一個沿著並大致上界定出一個縱向軸線的長度^ 該熱反應器系統10更包括有一個蒸發盤裝載器或是槳1 8,其係將一個晶圓負載2 0插入該處理管件1 4中或是 將一個晶圓負載2 0從該處理管件1 4中移出》更特別的 是,該蒸發盤裝載器1 8係包括有一個支承部分2 2,並 且一個擋門部分2 4係可以隨著該支承部分而移動,並且 在該支承部分2 2被插入該處理管件之中時,該擋門部分 2 4係可以關閉該處理管件1 4之開口端部1 6。該擋門 部分2 4係密封並且隔絕該處理管件,用以防止在該晶圓 負載2 0係已被插入該處理管件之容室中之後的熱量損耗 0 該晶圓負載2 0較佳係包括有複數個蒸發盤2 6,其 係例如是由石英或是碳化矽所製成者。該晶圓負載2 0更 _____15 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公変)' ' B7 經濟部智慧財產局員工消费合作社印* 五、發明說明 包括有複數個矽晶圓2 6,並且每一個蒸發盤2 4係支承 複數個晶圓2 6。在所說明之實施例中,在每一個蒸發盤 2 4上的晶圓係相等地分隔,並且晶圓2 6之蒸發盤大體 上係形成了一個晶圓或是其他半導體工件處理陣列。 該熱反應器1 2亦包括有一個或是多個加熱元件3 0 ,該等加熱元件3 0係環繞著該處理管件1 4 °在所說明 之實施例中,該加熱元件3 0係爲一種電阻加熱線圈’其 係平行於該處理管件14之長度而沿著處理容室之長度延 伸。該加熱元件3 0較佳係例如是藉由提供沿著該線圏之 連接而被細分爲複數個分離地可控制加熱區域3 2,用以 將該線圈分成分離地可控制區域。該等區域接著係藉由將 電源供應至每一個區域相關於線圈或是一個大線圈中一部 份的相反端而爲分離地可控制者。更特別的是,如同在第 四圖中所顯示者,該熱反應系統1 0係包括有高電流電壓 變壓器3 3及矽控制之整流器(S C R s ) 3 4,用以可 控制地將電源供應至每一個加熱區域3 2。 陶瓷絕緣體3 5係包住該加熱元件3 0。該等絕緣體 係用以反射熱量或者是使熱量朝向該晶圓陣列而引導,並 且更進一步地用以提供一個更均勻的層,而使得離開處理 陣列之熱通量變化能夠最小化。 用以控制處理溫度之溫度反饋以及/或是輸入係從至 少兩個來源而被導出,這兩個來源係爲:複數個峰値熱電 偶3 6以及複數個分布熱電偶4 2。在本文中所使用此一 名詞之熱電偶係包圍著不同的溫度感應器,而包含熱電偶 (請先閲讀背面之注意事項再V ,ί本頁) 裝 ->0· _ -線- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 '__W界1 «45 9 , A7 B7 經濟部智慧財產局貝工消费合作社印製 五、發明說明(1+) 之更爲特別的意義。其他的溫度感應器結構亦可以爲熱電 偶這個名詞所指。 該峰値熱電偶3 6係被置放在一個適當的位置處’例 如是在該加熱元件3 0與該處理管件1 4之間’用以量測 該加熱元件在個別區域處的溫度。該峰値熱電偶3 6接著 係沿著該加熱元件3 0之長度而被分隔’至少一個該_値 熱電偶3 6係被座落在每一個加熱區域3 2中。該峰値熱 電偶3 6係提供了在每一加熱區域中加熱元件溫度的一個 最特別以及相應的指示。 該分布熱電偶4 2係沿著一個伸長的分布桿件4 0而 被安置,並且係被支承在一個在該處理管件1 4內部延伸 的鞘殼3 8中。該鞘殼3 8較佳係爲石英或是碳化矽所製 成者。該分布桿件4 0係具有一個長度,其係平行於該處 理管件1 4之長度。至少一個熱電偶4 2係被座落在每一 個加熱區域3 2中》然而,該分布熱電偶4 2並不需要對 準該峰値熱電偶3 6。該分布熱電偶4 2係量測在該處理 管件1 4內部的溫度,並且提供在每一個別加熱區域中該 晶圓負載2 0之一個溫度的指示。 複數個裝配有熱電偶之晶圓4 4係在熱反應器之建模 期間選擇地被利用》第一B圖係顯示了第一圖中的熱反應 器1 2,而在建模期間接收有裝配有熱電偶之晶圓4 4 〇 這些裝配有熱電偶之晶圓4 4係在該晶圓負載1 6上均句 地被分隔,用以提供該晶圓2 8實際溫度之精確量測。每 一個具代表性裝配有熱電偶之晶圓4 4係包括有一個砂晶 17 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閲价背面之·注意事項再Χ..Ϊ7本頁) 裝: 訂_ 線. 4469 9 A7 B7 經濟部智慧財產局貝工消费合作社印製 五、發明說明((f) 圓以及兩個被連結至該矽晶圓之熱電偶4 6,其中一個熱 電偶係位於該晶圓之邊緣,而另一個熱電偶則係位在該晶 圓之中央處。每一個裝配有熱電偶之晶圓4 4的該熱電偶 4 6係例如是經由一種陶瓷黏著劑而被連結至砂晶圓,用 以提供精確的溫度量測。 該熱反應系統10之基本結構係可以被增強,而將其 應用性擴展至爲電子電路製造過程之一個較寬的範圍。舉 例來說,該熱反應系統1 0係可以包括有一個氣體遞送系 統或是氣體柵欄4 8,用以使得來自可選擇氣體供應源5 0之處理氣體可控制地噴射進入到該處理管件14之中, 用以使材料在該矽晶圓2 8的表面上生長、擴散、或者是 沉積。該氣體柵欄4 8係包括有閥體5 2以及質流控制器 5 4,如同在第三圖中所顯示者。該質流控制器5 4係被 使用來量測並控制處理氣體進入到該處理管件1 4中的流 量。該熱反應器1 2係可以更包括有一個吹管6 2,該吹 管6 2係可以在該處理管件1 4之內部或外部。該吹管6 2係藉由燃燒一種比率之氫氣與氧氣而被用來進行濕氧化 過程,用以在該處理管件1 4中產生蒸氣。 根據另一種增強方式,該處理管件14係可以爲了低 壓化學蒸氣沉積過程(L P C V D )而被加壓如同在第 三圖中所顯示者,此等熱反應系統1 0較佳係包括有一個 壓力控制器5 6以及一個巴拉創_ ( baratron )或: 是其他適合的壓力感應裝置5 8,其係量測在該處理管件‘ 1 4中的壓力並且使所.量測到的壓力傳送至該壓力控制器 -------1 1---------------裝 (請先Μ讀背面之注意事項再/〜本頁) -!!f iil線-—
4 46 9 Α7 Β7 五、發明說明(tb) 5 6。此外,在這些實施例中,該熱反應系統l ο係可以 包括有與該壓力控制器5 6相互通訊之泵與閥體6 〇,其 係被使用來達成在L P C V D過程中在該處理管件中所期 望之壓力。 上述硬體操作之控制的達成較佳係爲藉由以一種可程 式設計之控制系統來作爲硬體介面,該控制系統係適合於 進入並執行一個使用者所程式設計之工作程序。參照第二 圖,該熱反應系統1 0係包括有一個控制系統6 4,其係 用於控制在該熱反應器1 2中的溫度以及其他程序。該控 制系統6 4較佳係被分成兩個子系統:一個程序排序子系 統6 6以及一個溫度子系統6 8,其中該程序排序子系統 6 6係用於接受並執行一個程序排序,而該溫度子系統6 8則係用於根'據該等程序排序來控制溫度。該程序排序子 系統6 6以及該溫度子系統6 8兩者係跟隨著使用者所界 定之處理工作程序,而該等處理工怍程序係爲經由例如是 使用者介面82所進入者。 在所說明之實施例中,該程序排序子系統6 6係包括 有一個微處理器,其係具有與隨機存取記憶體7 2之介面 ;—個可程式設計之擴充處理器唯讀記憶體(E P R ΟΜ )7 4,其係儲存控制器邏輯、複數個數位輸入以及輸出 通路7 6、複數個類比輸入與輸出通路7 8、以及一個使 用者介面8 2 ;如果外部的連接係爲吾人所期望者’則可 以使用複數個用於外部(遠端)通訊之串列式輸入與輸出 通路8 0。在其他的使用者介面係可以被使用的同時’所 本紙張尺度適用令國國家楳準(CNS)A4規格(210 X 297公芨> (請先Mif背面乏注意事項再,..€本頁> -----------------------裝 經濟部智慧財產局兵工消t合作社印製 ___.— 汀 ί II藥!___ 謙·"..1-·-:'、
.·;'« I 3 46 9 A7 B7 經濟部智慧財產局貝工消費合作社印製 五、發明說明(I0) 揭露實施例中的使用者介面8 2係可以包括有一個觸碰式 螢幕終端介面,使用者係可以經由該觸碰式螢幕終端介面 而輸入一個使用者所界定之處理工作程序。在該處理工作 程序中,使用者係可以在每一個步驟的基礎上來界定步驟 時間、氣體流量、容室壓力、溫度設定點、以及斜率。溫 度控制所需之參數係被傳送至溫度控制子系統6 8而作爲 輸入參數,該等輸入參數係被該溫度控制子系統6 8所使 用來實行工作程序中溫度控制的方面。此等傳送能力係藉 由線8 7所說明。在所說明的實施例中,此等參數係至少 包括有被使用者爲了工作程序所輸入的溫度設定點。 如同在上文中所提及者,該熱反應系統1 0係可以包 括增強系統,例如是一個氣體遞送系統以及/或者壓力控 制系統,其係可以爲了薄膜沉積而被使用》此等系統係可 以包括有在第三圖中所說明之控制系統界面,該控制系統 界面係提供了在該程序排序子系統6 6與氣體遞送系統之 硬體以及/或者壓力控制系統之硬體之間的一個界面。如 同在第三圖中所顯示者,一個氣體柵欄界面1 0 4係被連 接在該氣體柵欄4 8與程序排序子系統6 8之間。該氣體 柵欄界面1 0 4係提供該控制系統6 4—個界面,而能夠 與該質流控制器5 4 '氣體閥體5 2、內部或是外部吹管 6 2、壓力控制器5 6、該蒸發盤裝載器1 8等等相互通 訊。此外,該氣體柵欄界面1 〇 4係可以包括有複數個用 於該熱反應器之硬體安全鎖(例如是用於確保氫氣以一個 適當的氫氣與氧氣比率的流量,而偵測來自該吹管6 2之 20 (請先閱讀背面之泫意事項再">本頁) -裝 訂: +.-:s . i.-'-i -r:.·. ·-· .象_________!..! l· . ί I · -—袭 .1. 本紙張尺度適用中國國家標準(CNS>A4規格(210 X 297公釐) ^469 9 A7 B7 五、發明說明(ί/) 火焰)。 該溫度控制系統6 8係根據一個適用者所程式設計的 工作程序,而控制在該熱反應器1 2之中的溫度。溫度控 制較好係基於該熱反應器1 2之動態模型’其中所期望之 溫度狀態係根據可量測之系統參數而被建模。在操作中’ 該等工作程序係包括有溫度設定點數値’其係被一個或是 多個模型所使兩,用以驅使該熱反應器1 2到達所期望之 溫度狀態。- 县有务總度捽制器之熱反應器 經濟部智慧財產局貝工消t合作社印製 --------------裝--- ί請先閱1*-背面之I意事項再1#本頁) 訂· --線· 再一次參照第四圖,該溫度控制子系統6 8係接收用 於每一預先界定加熱區域之至少兩個輸入信號:一個分布 熱電偶輸入以及一個峰値熱電偶輸入,在個別加熱區域中 分布熱電偶處之溫度係可以從該分布熱電偶輸入而決定, 並且在個別加熱區域中峰値熱電偶處之溫度係可以從該峰 値熱電偶輸入而決定。這些峰値溫度以及分布溫度係經由 —個熱電偶界面1 3 0而被提供,如同藉由線2 1 5所說 明者,並且係連同在線135處之溫度設定點輸入一起被 使用,用以在線1 4 0處提供一個或是多個加熱元件輸出 控制數値。在線1 4 0處之輸出控制數値係被供應至一個 加熱元件控制界面1 4 5之輸入,並且係被使用來控制在 線1 5 0處被供應至個別加熱區域之電力》 第四圖係說明了一種實行該溫度控制子系統6 8的方 式。如同圖示中所顯示者,複數個多變數控制器9 6、9 .8、以及1 〇 〇係被使用。該控制器9 6、9 8 '以及1 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 446 A7 B7 五、發明說明(q) .Γ. --------------裝--- <锖先閱免背面之注帝?事項再一 .本頁) ':'-%s^^ 0 0中的每一個較佳係根據一個或是多個從經驗測試數據 所導出之動態模型而被設計,而能夠在一個預定的溫度範 圍中(一般來說係爲在除了其他控制器之溫度範圍以外) 得到最佳化控制精確度。爲了這個目的,控制器9 6係被 設計用於在一個較低等級溫度範圍中之最佳溫度控制,控 制器9 8係被設計用於在一個中間等級溫度範圍中之最佳 溫度控制,控制器1 0 0係被設計用於在一個較高等級溫 度範圍中之最佳溫度控制。雖然控制器所設計之溫度範圍 一般來說是獨一無二的,必須承認的是溫度範圍之某種重 疊是可以想像的。根據該系統之一個實施例,較低等級溫 度範圍係可以大約以攝氏5 0 0度爲中心,中間等級溫度 範圍係可以大約以攝氏8 0 0度爲中心,而較高等級溫度 範圍則係可以大約以攝氏1 〇 5 0度爲中心。每一個控制 器所操作之溫度範圍因此大略在中央溫度範圍之+/-1 5 0度延伸。 經濟部智慧財產局員工消f合作社印製 控制器96、98、以及100中的每一個係使用堅 定的最佳控制理論,而以經驗推導出之爐子模型以及將被 加熱之工件所建構。更特別的是’在所說明之實施例中, 多變數控制器9 6、9 8、以及1 〇 〇較佳係使用高—無 限(High— Inf ini ty)控制理論而被建構° 一種推導出用於控制器9 6、9 8、以及1 0 0之模型的 方法係揭露於上述之W〇98/3553 1之中。如同在 該申請案中所揭露者,每一個控制器設計較好係使用兩個 動態模型。其中的一個動態模型係相關於輸入至峰値輸出 本紙張尺度適用中圉0家標準(CNS)A4规格(210 x297公釐) ! ύ 4 Ο Α7 Β7 經濟部智慧財產局貝工消费合作社印製 五、發明說明(>θ) 之輸入電力’而第二個模型則係相關於輸入至分布輸出之 輸入峰値。然而’必須承認的是其他的多變數控制邏輯亦 可以被使用。 在第四圖中所說明之控制邏輯流程一般來說係與使用 來設計控制器9 6 ' 9 8、以及1 0 〇之特定控制理論無 關。一般的需求僅爲每一個控制器9 6、9 8、以及1 0 0係能夠基於一個或是多個所量測到的變數輸入而產生一 個精確的控制輸出。 在所說明之系統中,輸入至每一個控制器之變數輸入 係包括有設定點輸入以及指出藉由熱電偶3 6與4 2所偵 測到之溫度的一個或多個溫度數據輸入。大體上在邏輯方 塊圖中以1 5 5所顯示之設定點輸入係掌握著欲達到之設 定點溫度數値。該數値係爲藉由將被該系統1 0所實行之 特定工作程序所決定者,並且係同時地被供應至每一個控 制器9 6、9 8、以及1 0 0之輸入》同樣地,每一個控 制器9 6、9 8、以及1 0 0係同時接收複數個指出藉由 熱電偶3 6與4 2所感應到之溫度數値的數據數値。設定 點溫度數値以及熱電偶數據數値係分別被應用至相關於每 —個控制器9 6、9 8、以及1 0 〇之動態模型,用以分 別產生輸出控制數値,而此大體上係爲以線1 6 0、1 6 5、以及1 7 0所說明者。 如同在上文中所提及者,被每一個控制器所使用之動 態模型係被最佳化,用以在一個溫度範圍中使用,而此溫 度範圍係大體上除了其他控制器之動態模型所設計之溫度 23 gBlisiig ---I----------裝--- (請先間沐背面之'注意事項再一.」本頁) 訂__ "^'^’,.--"""感 一^1^観"".! 本紙張尺度適用中困國家標準(CNS)A4規格(210 X 297公釐)
A7 B7 五、發明說明(γΐ) (請先閱讀背面之‘注意事項再疒、:本頁)
I
τ*. 良. 範圍之外。就這一點而論,在線1 6 0、1 6 5、以及1 7 0處之輸出控制數値係提供了三個可能的解,而能夠被 使用來驅使反應器1 2到達所期望之設定點溫度。然而, 這三個可能的解中僅有一個能夠爲了一個給定反應器狀況 而被最佳化,這是因爲被控制器所使用之模型係爲彼此不 同者,並且僅能夠在一個預定溫度範圍中被最佳化的緣故 。因此,該溫度控制子系統6 8必須選擇其中將被提供至 該加熱元件起動界面1 4 5的一組控制數値,用以控制加 熱元件並從而控制反應器溫度。 經濟部智慧財產局員工消費合作社印製 在所說明之系統中,被提供至該界面1 4 5之哪一組 控制數値的選擇係藉由輸出選擇切換邏輯所執行,此大體 上係在邏輯方塊圖中以1 7 5所顯示。如同圖示中所顯示 者,在線1 6 0、1 6 5 '以及1 7 0處之控制數値係被 提烘至控制輸出選擇切換1 7 5之輸入,接著基於預先決 定之選擇條件而選擇一組輸入至一控制數値輸出之控制數 値,此係大體上以線1 4 0所顯示。選擇條件較好係根據 可量測之輸入數値,該等數値係指出於給定狀況下,哪一 個動態模型在其被使用於推導出控制器9 6、9 8、以及 100是最好的。 在條件中能夠被控制輸出選擇切換1 7 5使用作爲一 個用於選擇將被提供至加熱元件起動界面1 4 5之控制數 値的基礎,能夠達成上述之一個條件係爲在線1 3 5處之 設定點輸入數値。如同藉由線1 3 5所顯示者,設定點輸 入數値係可以被提供作爲一個輸入至該控制輸出選擇切換 24 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 4 4 A7 B7
五、發明說明(vW 1 7 5之輸入。在線1 6 0、1 6 5、以及1 7 〇處被提 供至該控制輸出選擇切換1 7 5之輸出處所說明之控制數 値,其係從而取決於在線1 3 5處所提供之特定溫度設定 點輸入數値。 根據操作之一個模式,根據溫度設定點輸入數値之切 換邏輯係可以包含將上方以及下方設定點溫度臨界數値分 配至該控制器9 6、9 8、以及1 0 0。舉例來說,每當 設定點溫度數値在一給定臨界數値以下時,較低等 級溫度控制器9 6之輸出數値係可以被切換至輸出1 4 0 。當設定點溫度數値在TLt)W以上,但是在一個更進一步 之臨界數値Th,· 以下時,中間等級溫度控制器9 8在線 1 6 5處之該組控制數値係可以被切換至輸出1 4 0 〇同 樣地,當設定點溫度在TH 以上,較高等級溫度控制器 1 0 0在線1 7 0處之該組控制數値係可以被切換至輸出 1 4 0。在這樣的示例之中,Tuw之數値較佳係被選擇 而接近上方溫度數値,如此較低等級溫度控制器9 6所使 用之動態模型係可以爲精確以及/或是最佳者。或者(另 外),Tuw係可以被選擇而接近下方溫度數値’如此中 間等級溫度控制器9 8所使用之動態模型係可以爲精確以 及/或是最佳者。ΤΗι〇之數値較佳係被選擇而接近上方 溫度數値,如此中間等級溫度控制器9 8所使用之動態模 型係可以爲精確以及/或是最佳者。或者(另外)’THi 係可以被選擇而接近下方溫度數値,如此較高等級溫度 控制器1 0 0所使用之動態模型係可以爲精確以及/或是 25 - - - - ------------- (請先閱沐背面之注意事項再\ 本頁) -SJ. -線· 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中困國家標準(CNS〉A4規格(210 X 297公釐) A :] B7 A7
經濟部智慧財產局員工消費合作社印製 最佳者。 在條件中能夠被控制輸出選擇切換1 7 5使用作爲一 個用於選擇將被提供至加熱元件起動界面1 4 5之控制數 値的基礎,能夠達成上述之另一個條件係爲一個熱電偶溫 度輸入數値,此係如同藉由一個或多個熱電偶3 6以及4 2所偵測到的。如同藉由線1 8 0所顯示者,該熱電偶溫 度輸入數値係可以被提供作爲一個輸入至該控制輸出選擇 切換1 7 5之輸入。在線1 6 0、1 6 5、以及1 7 0處 被提供至該控制輸出選擇切換1 7 5之輸出處所說明之控 制數値,其係從而取決於在線1 8 0處所提供之特定溫度 設定點輸入數値。較佳的情況是,被安置在緊鄰反應器容 室中間部分處之熱電偶(例如是在第二圖中峰値熱電偶3 6 a )係被使用來提供熱電偶溫度輸入數値。被安置在緊 鄰反應器容室中間部分處之熱電偶係傾向於提供更能夠指 出在整個容室中平均溫度之溫度輸入數値。 在操作中,根據熱電偶溫度輸入數値之切換邏輯係可 以包含分配該控制器9 6、9 8、以及1 〇 〇中的每一個 將被選擇而輸出之溫度範圍。如果熱電偶輸入數値係指示 出一個在一給定臨界値Thw以下之範圍中之溫度,則較 低等級溫度控制器9 6在1 6 0處之輸出數値係可以被切 換至輸出1 4 0。每當熱電偶輸入數値指示出一個在一給 定臨界數値T Low以上但在一更進一步之臨界數値Th i g h 以下之範圍中的溫度時,中間等級溫度控制器9 8在線1 6 5處之該組控制數値係可以被切換至輸出1 4 0。同樣 26 --1 ------------ (靖先閱ti背面之注意事項再i:·-,本頁) 十° 矣·
本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 4 經濟部智慧財產局員工消費合作社印製 A7 _B7___ 五、發明說明(·/+) 地,當熱電偶輸入數値係指示出一個在一給定臨界値Th i Ο以上之範圍中的溫度時,較高等級溫度控制器1 〇 〇在 線1 7 0處之該組控制數値係可以被切換至輸出1 4 0。 在這樣的示例之中,之數値再一次地較佳係被選擇 而接近上方溫度數値’如此較低等級溫度控制器9 6所使 用之動態模型係可以爲精確以及/或是最佳者,但是Tl。 w之數値亦可以被選擇而接近下方溫度數値,如此中間等級 溫度控制器9 8所使用之動態模型係可以爲精確以及/或 是最佳者。同樣地,THi 〇之數値較佳係被選擇而接近上 方溫度數値,如此中間等級溫度控制器9 8所使用之動態 模型係可以爲精確以及/或是最佳者,但是Th 亦可以 被選擇而接近下方溫度數値,如此較高等級溫度控制器1 0 0所使用之動態模型係可以爲精確以及/或是最佳者。 本發明係已發現,使所有的該控制器9 6、9 8、以 及1 0 0基於個別動態模型來計算控制數値係爲吾人所期 望者,甚至是在一個特定控制器之控制數値並未被使用在 輸出線1 4 0處的時候。然而,亦必須承認的是,一旦用 於未使用控制器之切換條件係已配合該控制輸出選擇切換 邏輯1 7 5之時,切換至一個先前未使用控制器之控制數 値輸出係可能會造成控制系統6 8驅使反應器1 2以一種 次佳的方式來到達所期望之設定點溫度數値。此係肇因於 以下事實:被使用於該等未被使用之控制器的動態模型係 與被使用於控制數値切換之控制器動態模型不同的緣故。 不同的動態模型係造成在線1 4 0處用於輸出所能獲得之 _ - 27 本紙張1^適¥^國家標準(CNS)A4 &格(210 X 297公釐) ' * 茂.^^¢.¾¾¾.. ,, V. ---------------------裝.1 (請先gi*-背面I注意事項再J-':.*v本頁) . 含° s*r“ j2_tmMMn.rlrn1flrrrrfr1 4469 95 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(/) 大致上不同控制數値解的結果。 爲了在諸組控制數値之間切換時能夠降低反應器12 之次佳驅動,每一個控制器9 6、9 8、以及1 0 0係在 理論上被分成一個個別的觀察增益反饋邏輯單元1 8 5、 1 9 0、與1 9 5,以及一個個別的溫度控制邏輯單元2 00、205、與210。每一個溫度控制邏輯單元2 0 0、2 0 5、與2 1 0係基於設定點輸入數値1 5 5、由 線2 1 5所指示之複數個熱電偶數據數値、以及從個別觀 察增益反饋邏輯單元185、190、與195所提供之 一個觀察增益反饋輸出數値(如同在線2 2 0、2 2 5、 與2 3 0中所顯示者)而執行個別的動態模型。每一個觀 察增益反饋邏輯單元185、190、與195係接收一 個或多個輸入數値,該輸入數値係被使用來產生觀察增益 反饋輸出數値至個別溫度控制邏輯單元1 8 5、1 9 0、 與1 9 5。在所說明之實施例中,每一個溫度控制邏輯單 元185、190、與195係接收一個或多個藉由溫度 控制邏輯單元200、205、與210所計算之控制器 輸出數値,如.同在線235、240、與245處所顯示 者,並且接收從該控制輸出選擇切換邏輯1 7 5所提供之 反饋數値,如同在線2 50、255、與26 0處所顯示 者。藉由線2 35、240、與24 5處所顯示之控制器 輸出數値較佳保爲在個別溫度控制邏輯單元之中所計算之 數據數値,用以最後計算在控制器輸出之控制數値,其中 該溫度控制邏輯單元係被使用在溫度控制邏輯單元的觀察 28 -^--,.,:^--^}...-:.-----..-^.^^^:.-:1,^^^^^^^/.^^8.^16..^ ---------------------- 訂.-------·*^ , (請先間讀背面之·注意事項再产,本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 446995 A7 B7 經濟部智慧財產局貝工消费合作社印製 五、發明說明(vG) 反饋路徑中。從控制輸出選擇切換邏輯1 7 5所提供之觀 察反饋數値係指示包含特·、定控制器之控制輸出’此係爲在 線1 4 0處輸出而被選擇者。 在操作中,在線2 2 0、2 2 5、與2 3 0處用於一 個特定控制器之觀察增益反饋數値對於在線2 3 5、2 4 0、與2 4 5處之一組給定控制器輸出數値係爲不同者, 此係取決於特定控制器之控制數値輸出是否在輸出線14 0處被供應,而提供予該加熱元件起動界面1 4 5。舉例 來說,當在線2 5 0處之數値輸入係指示出:該控制器9 6在線1 6 0處的輸出係在線1 4 0處被提供時’在線2 3 5處之一組給定較低溫度控制器輸出數値係造成在線1 6〇處之一組控制數値X。當在線2 5 0處之數値輸入係 指示出:該控制器9 6在線1 6 0處的輸出並未在線1 4 0處被提供時,在線2 3 5處之同一組給定較低溫度控制 器輸出數値係造成在線1 6 0處之一組不同的控制數値Y 。就這一點而論,當特定控制器之控制數値輸出係在輸出 線1 4 0處被供應時,在線235 '240'與245處 之控制器輸出模型減去在線250、255、與260處 之類比觀察反饋數値較好係遭受藉由個別觀察增益反饋邏 輯單元185、190、與195之一個第一控制矩陣計 算,並且當特·定控制器之控制數値輸出並未在輸出線1 4 0處被供應時,在線235、240、與24 5處之控制 器輸出模型減去在線2 50、255、與26 0處之類比 觀察反饋數値較好係遭受藉由個別觀察增益反饋邏輯單元 29 (請先閲ί*-背面之-注意事項再一 :本頁) 兮° 4?
本紙張尺度適用t國國家標準(CNS)A4規格(210 X 297公釐) 446995 Δ7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(/)) 之一個第二控制矩陣計算。這些數値接著係被提供至在個 別觀察增益反饋邏輯單元185 ' 190、與195在輸 出線2 2 0、2 2 5、或2 3 0處之個別的溫度控制邏輯 單元。第一增益控制矩陣計算之增益控制矩陣常數較好係 被選擇’以使得特定控制器能夠以一種最佳的方式而在其 動態模型所被設計之溫度範圍之中來操作。一個不同的增 益矩陣常數係被使用於第二增益矩陣計算。第二增益控制 矩陣較好係被選擇,以使得特定控制器之控制數値輸出大 體上能夠追蹤控制輸出選擇切換1 7 5所選擇之控制器的 控制數値輸出。就這一點而論,當控制輸出選擇切換係在 輸出線1 4 0處提供其控制數値時,每一個控制器係在其 輸出處提供了一個最佳的控制數値,並且當一個或是多個 其他控制器之控制數値係在輸出線1 4 0處被提供時,每 一個控制器係在其輸出處提供一個大體上追蹤一個或是多 個其他控制器之控制數値輸出的控制數値。用於一給定溫 度控制器9 6、9 8、與1 0 0之第一增益矩陣計算以及 第二增益矩陣計算之常數,該等常數係可以不同於被使用 在其他溫度控制器之相應常數。 如同上文中所提及者,在第四圖中所說明之實施例大 體上係與溫度控制器9 6、9 8、予1 0 0所使用之特定 多變數控制器無關。然而,第五圖係說明了一種執行溫度 控制器的方式,其係使用兩個動態模型:一個動態模型係 相關於輸入至峰値輸出之電力輸入,而另一個模型則係相 關於輸入至分布輸出之峰値輸入。動態模型係特別地被設 30 —---——---------- (請先閱t背面L注意事項再.A本頁) -*8
T 良 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 5 6 4 4 經濟邨智慧財產局員工消費合作社印製 '9 〇 Α7 ___Β7_____ 五、發明說明(>/) 計而使用分布熱電偶與峰値熱電偶所提供之溫度數値。控 制器邏輯結構係適合於使用在第四圖所述之溫度控制子系 統中。雖然以圖號9 6所標示之較低等級溫度控制器來說 明,第五圖之結構係適合於使用在每一個溫度控制器9 6 、9 8、與1 〇 〇的設計中。 如同所說明者,大體上以9 6所標示之控制器係包括 有一個分布錯誤信號產生器2 9 0,其係基於在1 3 5處 所指示出之溫度設定數値與在3 3 0處所接收之分布熱電 偶數値之間的比較,而在2 9 5處產生分布錯誤數値。同 樣地,一個峰値錯誤信號產生器3 0 5係在3 1 0處產生 峰値錯誤數値。在2 9 5處之分布錯誤數値係被提供至該 分布控制器3 2 0之輸入3 15,而在同時在3 10處之 峰値錯誤數値係被提供至該峰値控制器3 3 0之輸入3 2 5。此等設計係被敘述在WO/ 9 8/35531之中, 並且其特定細節爲了簡化之緣故而在此予以省略。 不像是原本應用之基礎溫度控制器,該控制器9 6係 根據第四圖之系統結構以及以上相應之揭露,而實行觀察 增益反饋邏輯。爲了這個目的,一個防止終結之增益計算 矩陣3 3 5 .、一個增益計劃計算矩陣3 4 0、以及一個觀 察模式切換3 4 5係被使用來計算在輸入3 1 5處所提供 之參數的數値。該防止終結之增益計算矩陣3 3 5係在3 5 5處提供輸出數値,其係爲使用一個第一組增益參數而 被計算者。該增益計劃計算矩陣3 4 0係在3 6 0處提供 輸出數値,其係爲使用一個第二組增益參數而被計算者。 31 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------裝i — ί靖先閲^背面之^意事項再一^本頁) --訂----I-- *線· ': 經濟部智慧財產局員工消費合作社印製 446990 Λ7 B7 五、發明說明(V ) 在3 5 5以及3 6 0處之輸出數値係被提供至該觀察模式 切換3 4 5之輸入。該觀察模式切換3 4 5係選擇在3 5 5以及3 6 0處輸出數値的哪一個係被提供至該分布控制 器3 2 0之輸入3 1 5。當在2 5 0處所說明之信號的數 値係指示出:控制器9 6在輸出1 6 0處之控制數値被提 供至該加熱元件啓動界面1 4 5時,該觀察模式切換3 4 5係提供該防止終結之增益計算矩陣3 3 5在3 5 5處之 輸出數値。同樣地,當在2 5 0處所說明之信號的數値係 指示出:控制器9 6在輸出1 6 0處之控制數値並未被提 供至該加熱元件啓動界面1 4 5時,該觀察模式切換3 4 5係提供該增益計劃計算矩陣3 3 5在3 6 0處之輸出數 値。 〜個相似的邏輯結構係相關於峰値控制器3 3 0。如 同所說明者,一個防止終結之增益計算矩陣3 7 0 ' —個 增益計劃計算矩陣3 7 5 '以及一個觀察模式切換3 8 0 係被彳吏用來計算在輸入3 2 5處所提供之參數的數値。該 防止終結之增益計算矩陣3 7 0係在3 8 5處提供輸出數 値’其係爲使用一個第一組增益參數而被計算者。該增益 計劃If*算矩陣3 7 5係在3 9 0處提供輸出數値,其係爲 使用〜個第二組增益參數而被計算者。在385以及39 0處之輸出數値係被提供至該觀察模式切換3 8 0之輸入 。該顧察模式切換3 8 0係選擇在3 8 5以及3 9 0處輸 出數値的哪一個係被提供至該峰値控制器3 3 0之輸入3 2 5 °當在2 5 0處所說明之信號的數値係指示出:控制 --- 32 -------------裝--------訂---------線 (靖先閱沐背面之·->!.意事項再ίνι'·,本頁) 个 、叩令國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 4^69 95 A7 B7 五、發明說明(3 ϋ) 器9 6在輸出1 6 0處之控制數値被提供至該加熱元件啓 動界面1 4 5時,該觀察模式切換3 8 0係提供該防止終 結之增益計算矩陣3 7 0在3 8 5處之輸出數値。同樣地 ,當在2 5 0處所說明之信號的數値係指示出:控制器9 6在輸出1 6 0處之控制數値並未被提供至該加熱元件啓 動界面1 4 5時,該觀察模式切換3 8 0係提供該增益計 劃計算矩陣3 7 5在3 9 0處之輸出數値。 一個脫離連結的觀察反饋系統亦可以使用第五圖之邏 輯結構而被實行。在此等系統中,相關於以圓圈所表示之 輸入數値的增益係被設定爲零。 必須注意的是,系統邏輯結構之一個廣闊範圍係適合 於實行一個溫度控制系統,該溫度控制系統係利用分布熱 電偶數據數値以及峰値熱電偶數據數値雨者,而計算被選 擇地提供至該加熱元件啓動界面1 4 5之控制數値,並且 其係包括有所揭露之觀察增益反饋邏輯。舉例來說,溫度 控制系統係可以被設計而使得來自複數個爲了不同溫度範 圍而被設計之控制器的一組輸出數値能夠選擇地被供應至 一個單一峰値控制器之輸入,而不是使用其中該峰値控制 器與該分布控制器係被設計用於相同溫度範圍的一個控制 器。在此等的系統中,所揭露之觀察模式反饋邏輯將僅被 供應至每一個分布控制器。 具有增強斜變軌措邏輯少溫度控制系柄 桌六圖係顯不了實行帶有增強斜變軌道邏輯之溫度控 制系統6 8的一種方式。如问圖不中所顯示者,-個控制 ___ 33 本紙張尺度適用中因囷家標準(CNS)A4規格(21〇>; 297公釐) -------------裳--------訂---------線 (請先閱沒背面W注意事項再/:本頁) 446995 經濟部智慧財產局員工消費合作社印制机 Α7 Β7 五、發明說明(、1) 器1 1 4 0係被連接用以接收複數個數據數値,該等數據 數値係藉由線1 1 3 5所代表而相應於如同被熱電偶3 6 、4 2所量測到之溫度數値。另外,控制器1 1 4 0係接 收來自一個斜升/斜降溫度數値產生器1 1 4 5之溫度輸 出數値Tut PUt。如同將在下文中更進一步地詳細敘述者 ,該溫度數値產生器1 1 4 5係相應於至少例如是藉由使 用者輸入而作爲處理工作程序之一個部分的溫度設定點輸 入數値(係爲顯示在115 0處者),而將丁〃11*^提供 至該控制器1 1 4 0。其係爲藉由斜升/斜降溫度數値產 生器所執行之邏輯操作,用以產生溫度輸出數値TuutPUt ,此係幫助了防止在熱反應器1 2中的溫度過調。 該控制器1 1 4 0係使用堅定的最佳控制理論,而以 經驗推導出之爐子模型以及將被加熱之工件所建構。更特 別的是,在所說明之實施例中,該控制器1 1 4 0係爲一 個多變數控制器,其係使用一個利用高一無限(H i g h 一 I n f i n i t y )控制理論所建構的一種動態模型。 一種推導出用於該控制器1 1 4 0之動態模型的方法係揭 露於上述之W0 9 8 / 3 5 5 3 1 '以模型爲基礎之用於 半導體熱處理器的溫度控制器〃之中,其係合倂在本文中 以作爲參考。然而,必須承認的是其他的多變數控制邏輯 亦可以被使用。因此,顯示在第六圖中之控制邏輯流程係 大體上與被使用來設計該控制器之特定控制理論無關。~ 般的需求僅爲該控制器1 1 4 0係能夠基於一個或是多個 所量測到的變數輸入而執行一個動態模型。 34 本紙張尺度適用中@國家標準(CNS)A4規格(210 X 297公釐) - - - ---- - ------ - ---^ I I 訂 *111 息 ί — · "5^ (請先閱讀背面之注意事項Α,ίλ寫本頁) 經濟部智慧財產局員工消費合作社印製 4^69 95 a; B7 五、發明說明 該控制器1 1 4 0係將在1 1 5 5處所提洪之溫度輸 出數値以及在1 1 3 5處所提供之熱電偶數據數値運用至 其動態模型。該控制器1 1 4 0係使用該輸入數値來產生 一個包括有複數個控制數値之輸出,此等控制數値係例如 是在藉由1 1 6 0所表示之輸出處被提供至一個加熱元件 啓動界面1 1 6 5之輸入者。該啓動界面1 1 6 5係基於 控制數値之數値而在1 1 7 0處將受控電源運用至加熱元 件區域,從而調整被提供在每一區域中之熱量,並因此調 節反應器溫度。 顯著地,該控制器1 1 4 0並未接收用於直接輸入至 其動態模型之設定點輸入數値。溫度設定點輸入數値而是 被提供至該溫度數値產生器1 1 4 5,接下來該溫度數値 產生器1 1 4 5係將增加的溫度輸出數値Tuut Put提供予 該控制器1 1 4 0,而控制器1 1 4 0係將其使用於熱反 應器1 2之溫度控制。溫度輸出數値Tuut|)ut係形成一個 在時間上的修正斜變函數。形成修正斜變函數之溫度輸出 數値係在斜升狀態的一個部分期間,驅使該控制器1 1 4 0以一個最大斜變率或是接近一個最大斜變率而朝向溫度 設定點輸入數値TSP。然而,當修正斜變函數係到達溫度 設定點數値時,爲了改良溫度控制、避免晶圓滑動等等, 該修正斜變函數之斜變率係可以被降低。 實行溫度數値產生器1 1 4 5之修正斜變函數有許多 種新穎的方式。第七圖係說明了此等實行之一種方式。 參照第七圖,該溫度數値產生器1 1 4 5之目標係爲 35 本紙張又度適用中國國家標準(CNS)A4規格(2〗0 X 297公釐)
If — — — — — — —---- I ~ — — — — — I I I 11 lit (請先閱璜背面之注意事項再域為本頁) 4469 95 A7 B7 五、發明說明(13) (請先閱讀背面之注意事項再填驾本頁) 提供一連的溫度輸出數値Tut PUt,其係驅使該控制器1 1 4 0並從而驅使反應器溫度從一個初始溫度Tinitial 到達溫度設定點輸入數値TSP。在執行此等操作之時,該 溫度數値產生器1 1 4 5係提供形成一個在時間上之修正 斜變函數的溫度輸出數値PUt。此等修正斜變函數之 一種特定形式係藉由線1 1 7 8所指出。 如同藉由線1 1 7 8所說明者,該溫度數値產生器1 1 4 5係在時間t 1接收一個新的溫度設定點輸入數値, 並且繼續下去而將相應於一最大斜變率η之增加溫度 輸出數値Tuutl)ut提供予該控制器1 1 4 0 »該最大斜變 率Rmu之數値係可以基於一個藉由使用者所輸入之數値 ,或者可以爲一個預定的系統常數。 經濟部智慧財產局員工消费合作社印製 當溫度輸出數値T。u t p u t之數値到達該溫度設定點輸 入數値Ts,,時,該溫度數値產生器1 1 4 5係開始將相應 於一最小斜變率Rmi n之溫度輸出數値Tuu t PU t提供予該 控制器1 1 4 Ο »此一最小斜變率係被使用,直到藉由該 溫度數値產生器1 1 4 5所提供之溫度輸出數値T-tPt 相等於該溫度設定點輸入數値T SP爲止。該最小斜變率R …η之數値係可以基於一個藉由使用者所輸入之數値,或 者可以爲一個預定的系統常數。較佳的情況是將其設定至 最小斜變率,而產生在熱反應器1 2之中不會削弱工件熱 處理至處理容許度之外的最大容許溫度過調。 溫度數値產生器1 1 4 5從該最大斜變率切換 至該最小斜變率Rmi n的那個點係可以經由許多的方式來 36 本纸張尺度適用中固西家標準(CNS)A4規格(210x297公釐) 4 469 9 5 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明 設定。舉例來說,當溫度數値係相等於或是超過一個臨界 値時,溫度數値產生器1 1 4 5係可以切換至最小斜變率 。此一臨界値係可以基於溫度設定點輸入數値TSP之百分 比X X之數値係可以爲藉由使用者所輸入之工作程序的 一部份,或者可以是一個預定的系統常數。同樣地,該溫 度數値產生器1 1 4 5係可以在斜升狀態下在一個預定的 時間處切換至最小斜變率。此預定的時間數値係可以基於 總斜變時間(t 2 — t 1 )之預定百分比Z 〇 第八圖係說明了可以藉由該溫度數値產生器1 1 4 5 所實行之一個更進一步的修正斜變功能。如同藉由函數線 1 1 8 2所顯示者,該溫度數値產生器1 1 4 5係產生溫 度輸出數値T U U t pu t ’而在斜升狀態之開始部分處以一個 最小斜變率η來驅使該控制器1 1 4 0。在一個預定 的點處,例如是在溫度設定點輸入數値T sp或是初始溫度 數値T i n t i a t之一個百分比Y處,該溫度數値產生器1 1 4 5係產生溫度輸出數値T 〇u t PU t,而在以一個最大斜 變率Rma x來驅使該控制器1 1 4 0。在一個更進一步的 預定點處,例如是在溫度設定點輸入數値TSP或是初始溫 度數値T , n i t,a i之一個百分比X處,該溫度數値產生器 1 1 4 5係再一次地產生溫度輸出數値Tut PUt,而在以 —個最小斜變率Rmi n來驅使該控制器1 1 4 0,直到該 溫度輸出數値到達溫度設定點輸入數値TSP爲止。如上所 述,斜變率之切換係可以基於總斜升時間、使用者所程式 設計之工作程序數値、預定的系統常數等等。 _ 37 (請先閱讚背面之注意事項再填¾本頁) t 寸° 4-··-
T 不紙張尺度適用中國國家標準(CNS>A4規格(210 χ 297公坌 446995 A7 B7 五、發明說明( 可以藉由該溫度數値產生器1 1 4 5所實行之一個更 進一步的修正斜變功能係顯示在第九圖中。在這一個例子 中,藉由該控制器1 1 4 0所使用之斜變率ReuMr-係 在斜升狀態下改變,但是不會小於最小斜變率Rm , η。爲 了這個目的,在一個給定溫度輸出數値Tuutput之斜變率 係可以如下所描述: T -T * I argct output
R cvwTcirt output dx 其中,r係爲一個時間常數(使用者所程式設計者或 是系統常數);以及 target Τ sp for Δ Τ ΔΤ 其中,Tsp係爲溫度設定點數値;以及 Δ T = | T s p — Tinitial | 該斜變軌道係爲藉由解出以下用於T s P之系統所獲得 者 dT. —= sign(AT) Max i„ lT- -tJ) ^min > τ v ) t ^ Δ T Δ 丁 .-----------·裝·! -----訂- It---!* 線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧时屋局員工消費合作社印製 _ It old+R *t f〇r 丄input 一 It new r r wsp for 定義, T S p Ξ界定斜變軌道之隨時間改變的設定點數値 C T s Ρ 0 ' d ^ T s p ^ T s p n e w for a 1
T s p =新的目標設定點 38 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 44699ο 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(巧t)
TsP°ld5舊的目標設定點 AT = Tspnew-TSp0,d t Ξ從斜變開始所量測到之時間變數 r ξ斜變時間常數 T i nPU t Ξ隨後被篩選之輸入斜變軌道 如果以上之斜變率計算係造成一個小於最小斜變率R m i n之數値’則R。u 〃 e n t = R m t η ’以使得藉由該溫度數 値產生器所使用之斜變率將不會小於最小斜變率。 如同在第九圖中所顯示者’已先前方式所產生之溫度 輸出數値T〇ut 〃 t係提供了在斜升狀態期間所使用之最小 斜變率與最大斜變率之間的一個較爲平滑的轉變。此等平 滑的轉變係允許該控制器1140能夠更準確地控制該熱 反應器1 2之溫度。 第十圖係說明了在溫度輸出數値T u u t P u «上給定最小 斜變率數値n之數値的效應。在說明之中,線1 2 1 0係爲溫度輸出數値Tutput之圖表,其中一個較大的最 小斜變率數値Rmi η係被使用。線1 2 1 5係爲溫度輸出 數値Τ 〇 u t P u t之圖表’其中一個最小斜變率數値R m i II係 被使用’而此最小斜變率數値Rm , η係小於被使用來獲得 線1 2 1 0所說明溫度輸出數値之最小斜變率。同樣地* 線1 2 1 8以及1 2 2 0係代表溫度輸出數値,其中係使 用更低的最小斜變率。如同從第十圖中可以看到的,一個 較低的斜變率係得達到溫度設定點輸入數値T s ρ所花去的 時間加長’但是提供了一個較平滑的轉變朝向該溫度設定 ____ 39 本紙伥尺度適用中SS豕標準(CNS)A4規格(210 X 297公釐) -----------!裝-------訂---------線 (請先閱讀背面之注意事項再填寫本頁) «469 95 Α7 Β7 五、發明說明ry]) (请先閱讀背面之注意事項再填寫本頁) 點輸入數値Tsp,從而降低以及/或者消除在熱反應器1 2中的溫度過調。一個相似的效果係在時間常數r被改變 時發生。較大的時間常數係造成在最小斜變率與最大斜變 率之間較平滑的轉變,並且在該溫度輸出數値到達溫度設 定點輸入數値T SP時能夠更進一步地提供平滑的轉變。 除了溫度過調避免之外,使用一個非線性斜變軌道之 其他優點係包括有: 1、 較佳整體控制以及區域至區域之配合: 2、 帶有落在晶圓彈性變形限制之下斜變率之單一軌 道的規格。另一種情況可能是一組被拼湊在一起之麻煩的 線性斜變部分。 典型的情況是,在不同的控制目的之間係存在有一種 平衡。在一個較佳實施例中,高頻寬控制器/侵略性的擾 動反駁性質係被選擇(S Ρ改變係可以被視爲一種擾動) 。因此,一種線性斜變係可以代表一個大的擾動,而導致 輕略性的控制器反應。非線性斜變係使得擾動平化並同樣 使控制器反應平滑》 經濟部智慧財產局員工消费合作社印制衣 雖然說明於先前環境中之修正斜變函數係被指向在溫 度斜升狀態下的應用,必須承認的是此等修正斜變函數亦 可以被使用在溫度斜降狀態下。當其被使用在斜降狀態中 之時,修正斜變操作之目的之一係爲防止反應器之溫度落 在其新運用之設定點溫度以下-具有虛擬溫度感應器之溫晾榨制系統 第十一圖係說明了實行帶有一虛擬溫度感應器之溫度 40 本紙張又度適用中國國家標準(CNS)A4規格(210x297公釐) 4469 95 A7 B7 五、發明說明 (請先間讀背面之沒意事項再堉寫本頁) 控制系統6 8的一種方式。如同圖示中所顯示者’ 一個控 制器2 1 6 0係被連接用以接收複數個輸入數據數値°該 控制器2 1 6 0係使用堅定的最佳控制理論,而以經驗推 導出之爐子模型以及將被加熱之工件所建構。更特別的是 ,在所說明之實施例中,該控制器2 1 6 0較佳地係爲一 個多變數控制器,其係使用一個利用高-無限控制理論所 建構的一種動態模型。一種推導出用於該控制器1 4 0之 動態模型的方法係揭露於上述之W098/35531 > 以模型爲基礎之用於半導體熱處理器的溫度控制器”之中 ,其係合倂在本文中以作爲參考。然而,必須承認的是其 他的多變數控制邏輯設計亦可以被使用。因此,顯示在第 時一圖中之控制邏輯流程係大體上與被使用來設計該控制 器之特定控制理論無關。一般的需求僅爲該控制器2 1 6 0係能夠基於一個或是多個所量測到的變數輸入而執行一 個動態模型。 經濟部智慧財產局員工消費合作社印製 該控制器2 1 6 0係接收相應於如同藉由熱電偶3 6 、4 2所量測到之溫度數値的數據數値,此係藉由線2 1 6 5所表示這些數値係從該熱電偶界面2 1 3 0被連接 通過熱電偶切換邏輯2 1 7 0。另外,控制器2 1 6 0係 在線2 1 3 5處接收來自溫度設定點輸入之溫度設定點數 値,其中該溫度設定點輸入係被顯示在2 1 7 5處,而該 等溫度設定點數値則例如是藉由使用者所輸入作爲處理工 作程序之一個部分者。 該控制器2 1 6 0係將在2 1 3 5處被提供之溫度設 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公;§ ) 4 4 6 9 9 5 Α7 Β7 五、發明說明(> ) <請先閱讀背面之;1意事項再填寫本頁) 定點數値以及在2 1 6 5處之熱電偶數據數値運用至其動 態模型。該控制器2 1 6 0係使用該輸入數値來產生一個 包括有複數個控制數値之輸出,此等控制數値係例如是在 藉由2 1 4 0所表示之輸出處被提供至一個加熱元件啓動 界面2 1 4 5之輸入者。該啓動界面2 1 4 5係基於控制 數値之數値而在2 1 5 0處將受控電源運用至加熱元件區 域,從而調整被提供在每一區域中之熱量,並因此調節反 應器溫度。 如同所能夠輕易瞭解的,一個造成從一熱電偶之不精 確讀取的硬體故障將導致該控制器2 1 6 0之動態模型達 承載線2 1 4 0處所顯示用於控制輸出數値之不適當的解 在傳統的施行中,當此等錯誤狀況係被偵測到之時,溫 度控制器係被立即關閉。相反於此等傳統施行,本發明之 溫度控制系統係以一種虛擬溫度感應器來替代故障的輸入 數値,用以幫助反應器根據所程式設計之工作程序而繼續 操作,或者是依序地將系統關閉,而使得損害工件之風險 能夠降至最低。 經濟部智慧財產局員工消費合作社印製 第十一圖中控制系統之實施例係利用至少三個邏輯部 件來執行以虛擬溫度感應器來替換故障的輸入數値。爲了 此一目的,分布熱電偶數値以及峰値熱電偶數値係在熱電 偶界面2 1 3 0之輸出2 1 8 0處被提供。這些數値係依 序被提供至熱電偶故障偵測邏輯2 1 8 5之輸入、虛擬熱 電偶邏輯2 1 9 0、以及熱電偶切換邏輯2 1 7 0。 該熱電偶故障偵測邏輯2 1 8 5係監視熱電偶數據數 42 本紙張尺度適用乍國國家標準(CNS>A4規格<210 X 297公釐) 446'995 7 Α7 Β7 五、發明說明 (請先W讀背面之注意事項再填寫本頁) 値,用以偵測在一個或多個指出硬體故障之數値中的改變 。一般來說,硬體故障係相應於一個或多個負責從一特定 熱電偶提供輸入數値之部件的故障’而不需要限制在熱電 偶本身的故障。不同狀態之改變係可以指出此等故障。舉 例來說,熱電偶故障偵測邏輯2 1 8 5係可以監視每一個 熱電偶之輸入數値,用以決定數値是否超過一個預定的上 臨界値或是落在一個預定的下臨界値以下。此等限制係可 以指出熱電偶或相關部件之斷路狀況或是短路狀況。再者 ,藉由每一個熱電偶之輸入數値所指出之溫度改變率係可 以與一個速率上臨界値或是速率下臨界値來比較,用以偵 測熱電偶或相關部件之故障狀況。當一個或多個熱電偶輸 入數値之故障係被偵測到之時,該偵測邏輯2 1 8 5係提 供一個指出故障狀況並且辨識出一個或多個熱電偶輸入故 障之故障輸出信號(以2 1 9 5所顯示者)。 經濟部智慧財產局員Η消费合作杜印製 虛擬熱電偶邏輯2 1 9 0亦接收熱電偶數據數値,並 且使用這些數値而輸入至複數個熱電偶模型,至少一個模 型係個別相關於將在線2 1 6 5處被提供至控制器2 1 6 0之每一熱電偶數據數値輸入。每一個動態熱電偶模型係 被設計用以提供一個虛擬熱電偶輸出,該虛擬熱電偶輸出 係精確地追蹤爲了一特定熱電偶數而輸入至該控制器21 4 0的哪一個熱電偶數據輸入,如果該熱電偶輸入並未遭 受到硬體故障的話。在所揭露之實施例中,用於一特定熱 電偶輸入之動態模型較好係相關於熱電偶輸入數値,此等 熱電偶輸入數値係爲模型被設計而從使用在控制系統中其 本紙張尺度適用中國國家標準(CNS)A4規格(210 * 297公釐) 4469 95 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(4b 他熱電偶之一個或多個所輸入之熱電偶輸入數値者。在一 個峰値熱電偶與分布熱電偶兩者係被控制器2 1 6 0之動 態模型所使用之較佳實施例中,僅有分布熱電偶係被建模 。虛擬熱電偶輸出數値係被提供至該熱電偶切換邏輯21 7 0之輸入’如同藉由線2 2 〇 〇所顯示者。 以線2 1 3 5所顯示之熱電偶輸入數値係取決於該熱 電偶故障偵測邏輯2 1 8 5之故障輸出2 1 9 5的狀態。 在熱電偶輸入數値於線2 1 8 0處能夠正確地反應熱電偶 3 6、4 2之溫度的正常操作狀況之下,該熱電偶切換邏 輯2 1 7 0係有效地鄰接這些數値用以在線2 1 6 5處輸 入至控制器2 1 6 0。當一個分布熱電偶或是相應部件故 障時’此等故障係被該熱電偶故障偵測邏輯2 1 8 5所偵 測’該熱電偶故障偵測邏輯2 1 8 5係接著在線2 1 9 5 處提供故障輸出至熱電偶切換邏輯2 1 7 0。相應於在線 2 1 9 5處之故障輸出,該熱電偶切換邏輯2 1 7 0係禁 止故障熱電偶輸入之連接,並且以相應於故障熱電偶輸入 之虛擬熱電偶輸入在線2 1 6 5處來替換而爲控制器2 1 6 0所使用。較佳的情況是,在線2 1 9 5處之故障輸出 亦被提供至該控制器2 1 6 0,從而使得該控制器2 1 6 0意識到故障的狀況,以使得該控制器2~1 6 0能夠採取 適當動作。此等適當動作係可以包括有反應器系統之依序 關閉、工作程序之連續執行、或是工作程序之修正執行》 控制器邏輯執行那一個動作係取決於哪一個熱電偶輸入是 故障的。 44 I紙張尺度適用中S國f標準(CNS)A—) -1 丨 — — — —— i — 1!_ * 丨 I I — I I 訂 --------- <請先骑讀背面之注意事項再填寫本頁) 446995 經濟部智慧財產局|工消費合作社印製 A7 B7 五、發明說明(fo 如同在上文中所揭露之虛擬熱電偶感應器係可以被使 用在先前的溫度控制系統中。此外,使一個或多個動態模 型在大體上預定非重疊之溫度範圍中最佳化之原理亦可以 被運用在虛擬溫度感應器之設計中。此等系統係被顯示在 第十二圖中β 如同在圖示中所說明者,大體上以2 1 9 0所顯示之 虛擬熱電偶邏輯係接收峰値溫度輸入數値,如同以線2 1 8 0 a所顯示者,用以產生虛擬分布熱電偶數値。峰値溫 度輸入數値係被提供到至少兩個預期分布數値模型2 2 1 ◦與2 2 1 5之輸入。該預期分布數値模型2 2 1 0係在 一個溫度範圍而被最佳化,而此等溫度範圍係高於該預期 分布數値模型2 2 1 5最佳化之溫度範圍。每一個模型2 2 1 0與2 2 1 5之預期分布熱電偶數値係被提供至一個 預期分布選擇器2 2 2 0之輸入。該預期分布選擇器2 2 2 0係相應於一個或多個輸入信號,而此等輸入信號係指 出熱反應器被操作或是將被操作之溫度範圍,並且該預期 分布選擇器2 2 2 0係在2 225或2230處選擇適當 的預期分布數値用以在線2 2 0 0處輸出。在一個較佳實 施例中,該預期分布選擇器2 2 2 0係相應於在線2 1 8 0 b處之一個峰値溫度輸入數値,其係指出藉由一個被安 置在熱反應器12中間部分之峰値熱電偶所感應到的溫度 。如果所感應到的溫度係落在高溫預期分布數値模型2 2 1 0之最佳範圍之中,則在線2 2 2 5處之預期分布數値 係被選擇用以在線2 2 0 0處輸出。同樣地,如果所感應 45 衣^張尺度適用中國0家標準<CNS)A4規格(210 x 297公楚) --------— — — I- !1111訂·-------I <請先閱讀背面之注意事項再填茸本頁) 4469 9 5 A7 B7 五、發明說明 (請先閱讀背面之注意事項再堉寫本頁) 到的溫度係落在低溫預期分布數値模型2 2 1 5之最佳範 圍之中,則在線2 2 3 0處之預期分布數値係被選擇用以 在線2 2 0 0處輸出。在線2 1 3 5處(參見第十一圖) 之溫度設定點輸入之數値係可以被提供至該預期分布選擇 器2 2 2 0,並且被使用作爲一個選擇該預期分布數値模 型2 2 1 0或2 2 1 5在給定狀況下何者係爲最佳者的基 礎。如果溫度設定點輸入之數値落在高溫預期分布數値模 型2 2 1 0之最佳範圍之中,則在線2 2 2 5處之預期分 布數値係被選擇用以在線2 2 0 0處輸出。同樣地,如果 溫度設定點輸入之數値係落在低溫預期分布數値模型2 2 1 5之最佳範圍之中,則在線2 2 3 0處之預期分布數値 係被選擇用以在線2 2 0 0處輸出。 將能夠被承認的是,邏輯結構除了在第十一圖以及第 十二圖中所述之廣泛範圍係可以被使用來實行所揭露之虛 擬溫度感應器替換系統。因此,所有的此等系統係落於本 發明之範圍中。 加熱元件故障捽制 經濟部智慧时產局員工消費合作社印製 溫度控制系統6 8係根據一個使用者所程式設計之工 作程序來控制在熱反應器1 2之中的溫度。溫度控制較佳 係根據熱反應器1 2之動態模型,而在該熱反應器1 2中 所期望之溫度狀態係基於所量測到的系統參數而被建模。 在操作中,工作程序係包括有溫度設定點數値’其係被一 個或多個動態模型所使用來驅使熱反應器12到達所期望 溫度狀態。 46 本紙張尺度適用中國國家標準(CNS)A4規格(210 * 297公釐) 4469 95 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明 參照第十三圖,該溫度控制子系統6 8係接收至少用 於每一個預先界定之加熱區域的兩個輸入信號:一個分布 熱電偶輸入以及一個峰値熱電偶輸入,其中在該分布熱電 偶處用於個別加熱區域之溫度係可以從該分布熱電偶輸入 而被決定,而該峰値熱電偶處用於個別加熱區域之溫度係 可以從該峰値熱電偶輸入而被決定。這些分布溫度以及峰 値溫度係被提供通過一個熱電偶界面3 1 3 0,如同又線 3 2 1 5所顯示者,並且係連同在線3 1 3 5處之溫度設 定點輸入一起使用,用以在線3 1 4 0處提供一或多個加 熱元件輸出控制數値。在線3 1 4 0處之輸出控制數値係 被供應至一個加熱元件控制界面3 1 4 5之輸入,並且係 被使用來控制在線3 1 3 5處供應至個別加熱區域元件之 電力。 設計控制器9 6、98、100、與102之一種過 程係被詳細地敘述在WO 9 8 / 3 5 5 3 1 "用於半導體 熱處理器之模型基礎溫度控制器〃,該專利申請案係在此 合併作爲本案之參考》在該申請案中所揭露熱處理器之實 施例中,兩種類型之模型係被產生:離線(〇 f f - I i n e )以及上線(〇 η - 1 i n e ) 離線模型〃也就 是指一個爲了控制系統所創造之模型。a上線模型#則係 爲一種在熱反應器1 2操作期間活動之模型,以便處理實 際的半導體晶圓2 8。 在前述應用所揭露的實施例中,三個離線模型係被發 展出來:一個電力設定點v s峰値熱電偶模型;一個峰値 本紙張尺度適用中國國家標準(CNSJA4規格(210 X 297公釐) 丨丨丨 — 丨丨· -------I ^ · I------- -- (請先閱讀背面之注意事項再填寫本頁) A7 446995 _B7_ 五、發明說明(¢() 熱電偶V S分布熱電偶模型;以及一個分布與峰値熱電偶 V S裝配有熱電偶之晶圓模型。每一個離線模型係被使用 來設計在溫度子系統中之控制器9 6、9 8、1 0 0、與 10 2° 一個單一上線模型係被發展來估算在熱反應器12操 作期間之晶圓溫度。該上線模型係使用來自峰値與分布熱 電偶3 6與4 2之實際溫度量測來預期晶圓2 8之實際溫 度。因爲整個熱反應器1 2之建模係爲相當複雜並且非常 容易受到在時間上改變與維持之影響,因此在晶圓溫度與 所量測到分布與峰値溫度之間關係的簡單建模係被執行, 用以提供晶圓溫度在溫度上動態改變之期間中的一個正確 的指示。此外,吾人係採取在穩態之狀況下,分布溫度係 可以指出晶圓2 8之實際溫度》 在建模之後,該三個離線模型係被使用來創造三個分 離並且獨特的控制器:峰値控制器9 6、分布控制器9 8 、以及晶圓控制器1 0 0。該峰値控制器9 6係使用在該 峰値設定點與峰値熱電偶量測之間的差別作爲輸入,並且 接著將電源設定點輸出至該起動界面1 0 8。該分布控制 器9 8係使用在該分布設定點與分布熱電偶量測之間的差 別作爲輸入,並且接著將峰値設定點輸出至該峰値控制器 9 6。該晶圓控制器1 0 0係使用在該晶圓設定點與上線 晶圓溫度模型所預期者之間的差別作爲輸入,並且接著將 分布設定點輸出至該分布控制器9 8。在所說明之實施例 中,每一個控制器9 6、9 8、與1 0 0係使用高—無限 _____ 48 本紙張尺度適用中S國家標準(CNS)A4現格(210x297公爱) ^ * — — — — —-------I · I I ί I I 1 I «— — — — — — I— 〆 . <請先閱讀背面之沒意事項再填离本頁) 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消费合作社印製 4 469 9 5 A7 B7 五、發明說明(>4) 堅定最佳控制理論所設計者。更特別的是,在所說明之實 施例中,這些控制器中的每一個係爲多變數者,其中在加 熱區域之間的相互作用係被列入考慮,用以將改良的溫度 反應提供至所期望之斜變或設定點。然而,將能夠輕易明 瞭的是,其他的控制理論係可以被使用來實行該等控制器 〇 在所說明之實施例中,一個控制模式邏輯電路係爲可 以從可獲得之不同控制模式邏輯電路所選擇者,其中可獲 得之不同模式控制邏輯電路係包括有一個界定一元件控制 模式之元件控制模式邏輯電路(參見第十三圖)、一個界 定一基礎控制模式之基礎控制模式邏輯電路(參見第十四 圖)、一個界定一動態控制模式之動態控制模式邏輯電路 (參見第十五圖)、以及一個具定一Dt控制模式之Dt 控制模式邏輯電路(參見第十六圖)。這些控制模式邏輯 電路的每一個係爲藉由該控制器9 6、98、與100中 單一的一個或是其組合所界定者。 更特別的是,該溫度子系統6 8係利用該峰値控制器 9 6而用於元件控制模式(參見第十三圖)。該溫度子系 統6 8係利用該分布控制器9 8與該峰値控制器9 6之組 合而用於基礎控制模式(參見第十四圖)。該溫度子系統 6 8係利用該晶圓控制器1 0 0、該分布控制器9 8、以 及該峰値控制器9 6之組合而用於動態控制模式(參見第 十五圖)。最後,該溫度子系統68係利用該Dt控制器 1 0 2連同該分布控制器9 8與該峰値控制器9 6,用以 49 ---—---I I I I I t -----I I I 訂· I I I I I I — — (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國固家標準(CNS>A4規格<210x 297公;g ) 4 469 95 Α7 Β7 五、發明說明 提供Dt控制模式(參見第十六圖)。 (請先閱讀背面之注意事項再填寫本頁) 一個使用者係可以提供一個包括有多個步驟之工作程 序,並且係可以再每一個步驟中使用任何一種控制模式。 舉例來說,一個使用者係可以在半導體處理之一給定階段 而提供一個從一控制模式切換至另一控制模式工作程序。 一種所建議之方法係爲在溫度斜升之前而在一個爐子檢查 期間利用該基礎控制模式並且將該蒸發盤1 8推入,在溫 度斜升與溫度穩定期間利用晶圓控制模式,在晶圓處理步 驟期間利用D t控制模式,並且在蒸發盤推出期間利用基 礎控制模式。另一種所建議之方法係爲在所有的處理步驟 期間使用基礎控制模式。 元件控制模式典型地係爲一種維護模式(例如是在元 件中燃燒)。在元件控制模式中,該峰値控制器係基於該 峰値熱電偶溫度來進行控制。該模式並非使用於晶圓之正 常處理。 經濟部智慧財產局員工消费合作社印製 該基礎控制模式(參見第十四圖)係爲操作之內定模 式,並且是考慮到加熱元件故障之模式。在基礎控制模式 中,該分布控制器9 8係基於一個分布錯誤而提供一個峰 値設定點控制信號。該分布錯誤係基於在該分布溫度設定 點與藉由該分布熱電偶4 2所量測到分布溫度之間的差別 。該峰値控制器9 8係基於峰値錯誤來控制輸送到熱反應 器之電力。該峰値錯誤係基於在該峰値溫度設定點與藉由 該峰値熱電偶3 6所量測到峰値溫度之間的差別》該基礎 控制模式係提供了正確的控制,而造成改良程序的一致並 50 本纸張尺度適用_國國家標準(CNS)A4規格(210 x 297公釐) 經濟部智慧財產局員工湞費合作社印製 4469 95 A7 B7 五、發明說明(年/) 且由於較快速的穩定時間而造成循環時間的降低。該基礎 控制模式邏輯電路係藉由將分布控制器以及峰値控制器疊 在一起而同時使用分布控制器以及峰値控制器。 如同在第二圖中所說明者,一個或多個加熱元件故障 信號係從該加熱元件故障邏輯而被提供至該控制器6 8, 如同大體上以1 0 1所顯示者。以1 0 3所顯示之加熱元 件故障信號係指示出在一個預先界定加熱區域中一個特定 加熱元件之故障。此等故障係可以經由任何的方式來偵測 。舉例來說,爲了一個特定加熱區域而在時間上被提供之 所量測到峰値熱電偶數値的導數係可以被監視,用以偵測 故障的發生。當導數之絕對値超過一個用於一特定峰値熱 電偶之預定臨界値時,其係可以指示出在該區域中相應加 熱元件之故障個加熱元件故障信號係可以接著被產生 至該控制器6 8,而指示出相應加熱元件之故障。
另一種偵測加熱元件故障的方式係爲量測在該峰値設 定點數値與藉由該峰値熱電偶所量測到溫度之間的差別。 如果該差別超過在一個特定區域中的一個預定臨界値,貝U 其係可以被使用來指示出在該區域中相應加熱元件之故障 〇 另外一種偵測加熱元件故障的方式係爲量測流經該加 熱元件之電流或是通過一特定加熱區域中該加熱元件之電 壓。如果該電流係落在一個特定加熱元件之預定臨界値之 下,則其係可以指示出加熱元件之故障。同樣地,如果通 過特定加熱元件之電壓係超過一個預定臨界値,則其係可 51 "^紙張尺度^用中國國家標準(匚\5)六4規格(210><297公釐> ------------1·裝 ------- 訂------I I · (請先閱讀背面之;i意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 4 4 6 9 9 5 A7 B7 五、發明說明((4^) 以指示出加熱元件之故障》 當該控制器6 8偵測到在一個或多個區域中一個加熱 元件之故障時,該控制器6 8係切換至第十三圖中之該元 件控制模式。此外,當在此元件控制模式中之時,峰値設 定點係爲了發生加熱元件故障之加熱區域而被下降。較佳 的情況是,用於故障區域之峰値設定點係隨著峰値熱電偶 3 6之量測數値而下降。 以此方式來處理加熱元件故障係具有許多的優點。舉 例來說,此等故障處理係不定地防止高溫在加熱元件從故 障修復並且電力被儲存時發生。此係有助於防止經由溫度 過調而發生之晶圓滑動,如果加熱元件係隨著從故障狀況 之恢復而立即地被驅使至設定點數値的話。此外,此等故 障處理係防止經由溫度過調而在此發生一個過溫狀況。再 者,雖然加熱元件發生故障,此等故障處理係使得搶救工 件2 8成爲可能。 分布熱電偶並無法配合在一負載邊緣處之溫度。使用 者較好是不要改變其工作程序來補償此一情況。此等問題 之一種解決方案係爲減短分布熱電偶,該分布熱電偶係造 成藉由分布熱電偶所產生之讀數更正確地配合在一負載邊 緣處之溫度。動態或晶圓控制模式(參見第十五圖)係提 供該問題之一種解決方法,而不需要修正分布熱電偶或是 調整使用者工作程序》 在動態控制模式中,該控制器1 0 0係提供晶圓2 8 溫度之預期或估算以及控制,用以達成晶圓2 8接近所期 52 本紙張尺度適用中S國家標準(CNS)A4規格(210x 297公芨〉 -------------裝.--I ----訂·- ------ ·線 (請先閱璜背面之沈意事項再填寫本頁) 4 4 ^95 A7 __B7__ 五、發明說明(P) (請先閲讀背面之沒意事項再填寫本頁> 望或工作程序晶圓溫度之溫度。該動態控制模式係可以最 有利地使用在溫度斜便與穩定步驟期間。該動態控制模式 係包括有在建模期間先前使用裝配有熱電偶之晶圓4 4, 如同在上文中所討論者。在建模之後,在控制系統於使用 中之同時,該動態控制模式係基於預期晶圓溫度而使用上 線晶圓溫度估算模型1 1 4來控制該熱反應器1 2。該上 線晶圓溫度估算模型114係基於來自該峰値熱電偶與分 布熱電偶3 6與4 2之量測而預期晶圓溫度。更特別的是 ,在建模期間,晶圓溫度係基於來自該峰値熱電偶與分布 熱電偶3 6與4 2之量測,以及在藉由該裝配有熱電偶之 晶圓4 4所採取之量測以及藉由該峰値熱電偶與分布熱電 偶3 6與4 2所採取之量測之間的差別而被預期。 經濟邾智慧財產局員工消費合作社印製 在動態控制模式中,該晶圓控制器1 0 0係基於一個 晶圓錯誤而提供一個分布設定點。該晶圓錯誤係基於在分 布溫度設定點與藉由該分布熱電偶4 2所量測到分布溫度 之間的差別。該分布控制器係基於分布錯誤而提供一個峰 値設定點控制信號。該分布錯誤係基於在藉由晶圓控制器 1 0 0所產生之分布溫度設定點與藉由該分布熱電偶所量 測到分布溫度之間的差別。該峰値控制器係基於峰値錯誤 而控制輸送反應器至之電力。該峰値錯誤係基於在峰値溫 度設定點與藉由該峰値熱電偶3 6所量測到峰値溫度之間 的差別。該動態控制模式係使用疊在一起之該峰値控制器 9 6、該分布控制器9 8、以及該晶圓控制器1 0 0。 在D t或熱預算模式中,該D t控制器1 0 2係量測 53 木紙張&度適用中國國家標準(CNSM4規格(210 X 297^¾ ) ' 44^9 95 經濟部智慧財產局員工消费合作社印製 A7 _^ 五、發明說明(cl) 溫度暴露或是所運用之熱能量,並且係相對於設定點能量 或是所期望能量而控制能量。熱預算係被控制,其係使用 來自分布熱電偶4 2之量測並且對作積分,其中k係 爲波茲曼常數而T係爲使用分布熱電偶4 2所量測到的溫 度,用以根據所期望之能量來維持D t數値。被送入熱反 應器之能量係被計算,並且被送入熱反應器之能量係被控 制。熱預算模式係有利地被使用來控制在臨界處理步驟期 間之熱預算,用以維持一致的D t數値。 在Dt控制模式中(參見第八圖),該Dt控制器1 0 2係基於一個能量錯誤而提供了一個分布設定點。該能 量錯誤係基於在一個能量設定點與所量測到能量之間的差 別。該分布控制器9 8係基於一個分布錯誤而提供了一個 峰値設定點控制信號。該分布錯誤係基於在藉由D t控制 器所產生分布溫度設定點與藉由分布熱電偶4 2所量測到 分布溫度量測之間的差別。該峰値控制器9 6係基於峰値 錯誤而控制輸送至熱反應器之電力。該峰値錯誤係基於在 該峰値設定點與藉由峰値熱電偶3 6所量測到峰値溫度量 測之間的差別。 許多的修正係可以在不離開前述系統之教導而完成於 前述系統中。雖然本發明係參照一個或多個實施例來加以 詳細說明,熟於此技者將會明白在不離開本發明於所附申 請專利範圍的情況下仍能做出許多的改變。 54 本紙張尺度適用中國囲家標準(CNS>A4規格(210 X 297公;g ) --------1111 J f — I I I 訂- {請先閱讀背面之注意事項再填寫本頁)

Claims (1)

  1. 經濟部智沒57產局員工消費合作社印製 AS B8 C8 D8 ^'、申請專利範圍 1、 一種用於控制一熱反應器溫度之溫度控制系統, 該溫度控制系統係包括有: 一個第一溫度控制器,其係被最佳化而在一個第一溫 度範圍中計算一個第一組控制輸出數値; 一個第二溫度控制器,其係被最佳化而在一個第二溫 度範圍中計算一個第二組控制輸出數値,該第一溫度範阖 係不同於該第二溫度範圍;以及 控制輸出選擇邏輯,其係用於決定該第一組控制數値 或是該第二組控制數値係被使用來控制熱反應器之溫度》 2、 一種用於控制一熱反應器溫度之溫度控制系統, 該溫度控制系統係包括有: —個第一溫度控制器,其係被最佳化而在一個第一® 度範圍中計算控制輸出數値: 一個第二溫度控制器,其係被最佳化而在一個第二® 度範圍中計算控制輸出數値,該第一溫度範圍係不同於該 第二溫度範圍;以及 一個観察增益反饋系統,當該第二組控制數値係被使 用來控制反應器之溫度時,該系統係用於驅使該第一溫度 控制器之控制數値朝向該第二溫度控制器之控制數値,並 且當該第一組控制數値係被使用來控制反應器之溫度時, 該系統係用於驅使該第二溫度控制器之控制數値朝向該第 一溫度控制器之控制數値。 3、 一種用於控制一熱反應器溫度之溫度控制系統, 該溫度控制系統係包括有: ------_-----1(------π------νιί ί碕先閱讀背面<a意事¾再填筇木頁> 本紙張尺度適用中國國家標率(CNS ) A4说格(2丨0X297公* ) 經消部智;i-Ni走局員工合作钍印製 A8 ?8S D8 六、申請專利範圍 —個第一溫度控制器,其係將一個第一動態模型應用 至複數個被量測之輸入參數,用以產生一個第一組控制數 値,該第一動態模型係在一個第一溫度範圍中被最佳化, 用以在該第一溫度範圍中提供用於該第一組控制數値之大 體上最佳數値; 一個第二溫度控制器,其係將一個第二動態模型應用 至複數個被量測之輸入參數,用以產生一個第二組控制數 値,該第二動態模型係在一個第二溫度範圍中被最佳化, 用以在該第二溫度範圍中提供用於該第二組控制數値之大 體上最佳數値,該第一溫度範圍係不同於該第二溫度範圍 :以及 控制輸出選擇切換邏輯,其係用於決定該第一組控制 數値或是該第二組控制數値係被使用來控制熱反應器之溫 度。 4、一種用於控制一熱反應器溫度之溫度控制系統, 該溫度控制系統係包括有: 一個第一溫度控制器,其係將一個第一動態模型應用 至複數個被量測之輸入參數,用以產生一個第一組控制數 値,該第一動態模型係在一個第一溫度範圍中被最佳化, 用以在該第一溫度範圍中提供用於該第一組控制數値之大 體上最佳數値; 一個第二溫度控制器,其係將一個第二動態模型應用 至複數個被量測之輸入參數,用以產生一個第二組控制數 値’該第二動態模型係在一個第二溫度範圍中被最佳化’ 2 本紙張尺度適用+困國CNS ) A4規格(2丨0X297公釐) — ---7--;----4t------,订------^ (請先閱讀背面之注意事項再填苒本頁) 446 9 9 Α8 BS C8 D8 六、申請專利範圍 用以在該第二溫度範圍中提供用於該第二組控制數値之大 體上最佳數値,該第一溫度範圍係不同於該第二溫度範圍 :以及 一個觀察增益反饋系統,當該第二組控制數値係被使 用來控制反應器之溫度時,該系統係用於驅使該第一組控 制數値朝向該第二組控制數値,並且當該第一組控制數値 係被使用來控制反應器之溫度時,該系統係用於驅使該第 二組控制數値朝向該第一組控制數値。 5、 一種用於控制一熱反應器溫度之溫度控制系統, 該溫度控制系統係包括有: 一個控制器,其係相應於一個或是多個溫度輸入數値 ,用以控制該熱反應器之一個或是多個加熱元件; 一個溫度設定點輸入邏輯,其係爲了一個相應於熱工 作程序之斜變溫度轉變而提供一個設定點: 一個溫度數値產生器,其係相應於該設定點輸入邏輯 ,用以將一個或是多個溫度輸入數値提供至該控制器。 6、 根據申請專利範圍第5項所述之溫度控制系統, 其中’該溫度數値產生器係決定了一個或是多個至少部分 地基於最大斜升數値而用於控制器之溫度輸入數値。 7、 根據申請專利範圍第5項所述之溫度控制系統, 其中’該溫度數値產生器係決定了一個或是多個至少部分 地基於最小斜升數値而用於控制器之溫度輸入數値》 8、 根據申請專利範圍第5項所述之溫度控制系統, 其中’該溫度數値產生器係決定了一個或是多個至少部分 3 本紙張尺度適用中囷ϋ橾準(CNs > A4規^( 210X297公釐) ---^ ^----装------訂------束 (請先閲讀背而之注意Ϋ項再填寫本頁) 6 4 4 經濟部智1时4局員工3費合作社印製 C8 D8 六、申請專利範圍 地基於最大斜降數値而用於控制器之溫度輸入數値》 9、根據申請專利範圍第5項所述之溫度控制系統, 其中,該溫度數値產生器係決定了一個或是多個至少部分 地基於最小斜降數値而用於控制器之溫度輸入數値。 1 0、一種用於控制一熱反應器溫度之溫度控制系統 ,該溫度控制系統係包括有: 一個控制器,其係相應於一個或是多個溫度輸入數値 ,用以控制該熱反應器之一個或是多個加熱元件: 一個溫度設定點輸入邏輯,其係爲了一個相應於熱工 作程序之斜變溫度轉變而提供一個設定點: 一個溫度數値產生器,其係相應於該設定點輸入邏輯 ,用以將一個或是多個溫度輸入數値提供至該控制器,該 一個或是多個溫度輸入數値在一個熱斜變循環之中間部分 期間係藉由一個最大斜變極限所界定,並且在一個熱斜變 循環之一個或兩個端點部分或是開始部分處係藉由一個最 小斜變極限所界定。 1 1、根據申請專利範圍第1 0項所述之溫度控制系 統,其中,該最大斜變極限係可以經由一個熱工作程序之 登錄而被程式設計》 1 2、根據申請專利範圍第1 0項所述之溫度控制系 統,其中,該最小斜變極限係可以經由一個熱工作程序之 登錄而被程式設計。 1 3、一種用於控制一熱反應器溫度之溫度控制系統 ,該溫度控制系統係包括有: 4 ---^--^----d------訂------束 (請先閱讀背面之注悬事項再填寫本页) 本紙張尺度逋用中國國家揉準(CNS 规格(210X297公釐) $888 ABCD 446995 六、申請專利範圍 複數個溫度感應器’其係被安置用以量測相關於該熱 反應器之溫度: ---:— ":----^II (請先閱讀背面之注意事項再填艿本頁) 溫度感應器故障邏輯’其係被安置用以偵測複數個溫 度感應器中至少一個的一個故障; —個虛擬溫度感應器模型電路’其係具有至少一個輸 出,其係基於從複數個溫度感應器中之其他所選擇的諸個 所接收之輸入,而跟蹤複數個溫度感應器中至少一個; 一個控制器,其係相應於複數個溫度感應器; 替換邏輯電路,其係相應於藉由該溫度感應器故障邏 輯所偵測到之複數個溫度感應器中至少一個之故障的偵測 ,用以替換用於輸入至該控制器之該虛擬溫度感應器模型 電路之至少一個輸出。 1 4、根據申請專利範圍第1 3項所述之溫度控制系 統,其中,複數個溫度感應器係包括有熱電偶。 1 5、根據申請專利範圍第1 3項所述之溫度控制系 統,其中,複數個溫度感應器係包括有分布感應器以及峰 値感應器。 經濟部智认?时是局員工消骨合作社印製 1 6、根據申請專利範圍第1 5項所述之溫度控制系 統,其中,該虛擬溫度感應器模型電路係製作該分布感應 器之模型。 1 7、根據申請專利範圍第1 5項所述之溫度控制系 統,其中,該虛擬溫度感應器模型電路係基於來自該峰値 感應器之輸入而製作該分布感應器之模型。 1 8、一種用於控制一熱反應器溫度之溫度控制系統 5 本紙張尺度適用中國國家標率(CNS ) Μ規格(2IOX 297公釐} 經濟部智-ϊ財產局員工消費合作社印製 B8 C8 ______D8 六、申請專利範圍 ,該溫度控制系統係包括有: 一個控制器,其係具有一個基礎控制模式以及一個元 件控制模式; 一個加熱元件故障偵測器,其係被安置用以偵測該熱 反應器之一個或多個加熱元件,該控制器係相應於該加熱 元件故障偵測器,用以根據該元件控制模式來操作,該元 件控制模式係取決於一個或多個加熱元件之故障的偵測。 1 9、根據申請專利範圍第1 8項所述之溫度控制系 統,其中,該加熱元件故障偵測器係藉由監視一個或多個 指出供應至該加熱元件之電源的信號,而偵測一個加熱元 件之故障。 2 0、根據申請專利範圍第1 8項所述之溫度控制系 統,其中,該加熱元件故障偵測器係藉由計算所量測到峰 値溫度感應器數値之導數,其係被爲提供用於在包括有加 熱元件之熱反應器中的一個特定加熱區域,並且將所計算 出導數之絕對値與一預定門檻數値相比較*而偵測一個加 熱元件之故障。 21、根據申請專利範圍第18項所述之溫度控制系 統*其中,該加熱元件故障偵測器係藉由計算在一個峰値 設定點數値與一個藉由一峰値溫度感應器所量測道之溫度 之間的差別,並且比較其與一預定門檻數値之差別,而偵 測一個加熱元件之故障,。 ---Μ--^----*4------.訂------1 (請先鬩讀背面之注恚事項再填寫本頁) 本紙張尺度適用中國國家揉準(CNS> A4規格(210X297公釐)
TW088107656A 1998-05-11 1999-05-11 Temperature control system for a thermal reactor TW446995B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US8490798P 1998-05-11 1998-05-11
US8490998P 1998-05-11 1998-05-11
US8525798P 1998-05-13 1998-05-13
US8693298P 1998-05-27 1998-05-27

Publications (1)

Publication Number Publication Date
TW446995B true TW446995B (en) 2001-07-21

Family

ID=27491914

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088107656A TW446995B (en) 1998-05-11 1999-05-11 Temperature control system for a thermal reactor

Country Status (6)

Country Link
US (4) US6207937B1 (zh)
EP (1) EP1093664A4 (zh)
JP (1) JP2002515648A (zh)
KR (1) KR20010071235A (zh)
TW (1) TW446995B (zh)
WO (1) WO1999059196A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI550681B (zh) * 2012-01-23 2016-09-21 東京威力科創股份有限公司 熱處理設備及控制該設備之方法

Families Citing this family (402)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10640425B2 (en) 1996-01-19 2020-05-05 Romeo Ilarian Ciuperca Method for predetermined temperature profile controlled concrete curing container and apparatus for same
KR20010071235A (ko) 1998-05-11 2001-07-28 세미툴 인코포레이티드 열반응기용 온도 제어 시스템
JP4551515B2 (ja) * 1998-10-07 2010-09-29 株式会社日立国際電気 半導体製造装置およびその温度制御方法
JP4426024B2 (ja) * 1999-09-02 2010-03-03 東京エレクトロン株式会社 熱処理装置の温度校正方法
US7148153B2 (en) * 2000-06-20 2006-12-12 Agere Systems Inc. Process for oxide fabrication using oxidation steps below and above a threshold temperature
GB0019176D0 (en) * 2000-08-05 2000-09-27 Cambridge Material Science Lim Monitoring thermal events
US7024253B2 (en) * 2000-08-21 2006-04-04 Honeywell International Inc. Auto-tuning controller using loop-shaping
US6492625B1 (en) * 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
TW522292B (en) 2001-02-06 2003-03-01 Asml Us Inc Inertial temperature control system and method
US6462313B1 (en) * 2001-02-20 2002-10-08 Micron Technology, Inc. Method and apparatus to control temperature in an RTP system
US6864466B2 (en) 2001-03-08 2005-03-08 Aviza Technology, Inc. System and method to control radial delta temperature
WO2002073660A2 (en) * 2001-03-08 2002-09-19 Asml Us, Inc. System and method to control radial delta temperature
US6942973B2 (en) * 2001-03-12 2005-09-13 Novozymes Biotech, Inc. Methods for isolating genes from microorganisms
EP1256973B1 (en) * 2001-04-12 2004-12-29 Infineon Technologies SC300 GmbH & Co. KG Heating system and method for heating a reactor
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
JP2003074468A (ja) * 2001-08-31 2003-03-12 Toshiba Corp 真空排気システム及びその監視・制御方法
TW200416772A (en) * 2002-06-06 2004-09-01 Asml Us Inc System and method for hydrogen-rich selective oxidation
KR20040003885A (ko) * 2002-07-04 2004-01-13 삼성전자주식회사 확산로 온도 검출장치
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7006900B2 (en) * 2002-11-14 2006-02-28 Asm International N.V. Hybrid cascade model-based predictive control system
DE10261016A1 (de) * 2002-12-24 2004-07-08 Robert Bosch Gmbh Steckkontrolle zur Detektion einer korrekt ausgeführten elektrischen Steckverbindung
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7619184B2 (en) * 2003-03-04 2009-11-17 Micron Technology, Inc. Multi-parameter process and control method
US7311810B2 (en) * 2003-04-18 2007-12-25 Applied Materials, Inc. Two position anneal chamber
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US6983223B2 (en) * 2003-04-29 2006-01-03 Watlow Electric Manufacturing Company Detecting thermocouple failure using loop resistance
US7087443B2 (en) 2003-07-29 2006-08-08 Brooks Automation, Inc. Optimized temperature controller for cold mass introduction
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7274007B2 (en) * 2003-09-25 2007-09-25 W.E.T. Automotive Systems Ltd. Control system for operating automotive vehicle components
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP4570909B2 (ja) * 2004-06-04 2010-10-27 富士重工業株式会社 電気加熱触媒の故障診断装置
US20060042278A1 (en) * 2004-08-31 2006-03-02 Thermo King Corporation Mobile refrigeration system and method of detecting sensor failures therein
TWI240953B (en) * 2004-10-29 2005-10-01 Mosel Vitelic Inc Monitoring method for furnace apparatus
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7838072B2 (en) * 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US7459175B2 (en) * 2005-01-26 2008-12-02 Tokyo Electron Limited Method for monolayer deposition
JP4878801B2 (ja) * 2005-09-26 2012-02-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法
US7441949B2 (en) * 2005-12-16 2008-10-28 Micron Technology, Inc. System and method for providing temperature data from a memory device having a temperature sensor
US7526699B2 (en) * 2006-03-31 2009-04-28 Tokyo Electron Limited Method for creating a built-in self test (BIST) table for monitoring a monolayer deposition (MLD) system
US7302363B2 (en) * 2006-03-31 2007-11-27 Tokyo Electron Limited Monitoring a system during low-pressure processes
US7340377B2 (en) * 2006-03-31 2008-03-04 Tokyo Electron Limited Monitoring a single-wafer processing system
US7519885B2 (en) * 2006-03-31 2009-04-14 Tokyo Electron Limited Monitoring a monolayer deposition (MLD) system using a built-in self test (BIST) table
US7473032B2 (en) * 2006-06-30 2009-01-06 Honeywell International Inc. System and method for enabling temperature measurement using a pyrometer and pyrometer target for use with same
US8375891B2 (en) * 2006-09-11 2013-02-19 Ulvac, Inc. Vacuum vapor processing apparatus
EP1970783B1 (de) * 2007-03-14 2014-11-05 Phoenix Contact GmbH & Co. KG Verfahren, Steuergerät und Steuerungssystem zur Steuerung eines Automatisierungssystem
SE0700910L (sv) * 2007-04-13 2008-10-14 Aga Ab Förfarande för att mäta temperaturen i en ugn
US7746087B2 (en) * 2007-07-13 2010-06-29 Pericom Technology Inc. Heating-control isolation-diode temperature-compensation
US20090039070A1 (en) * 2007-08-06 2009-02-12 Jung-Wen Tseng Semiconductor equipment and breakdown precautionary system and method thereof
US7951728B2 (en) * 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US8160730B2 (en) * 2008-03-03 2012-04-17 Xinsheng Lou Fuzzy logic control and optimization system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5734081B2 (ja) * 2010-10-18 2015-06-10 株式会社日立国際電気 基板処理装置、基板処理装置の温度制御方法、及び基板処理装置の加熱方法
US8950470B2 (en) * 2010-12-30 2015-02-10 Poole Ventura, Inc. Thermal diffusion chamber control device and method
US20120168143A1 (en) * 2010-12-30 2012-07-05 Poole Ventura, Inc. Thermal Diffusion Chamber With Heat Exchanger
JP5673242B2 (ja) * 2011-03-11 2015-02-18 株式会社Ihi 制御装置
JP2013008950A (ja) * 2011-05-23 2013-01-10 Panasonic Corp 光源装置および画像表示装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8793004B2 (en) * 2011-06-15 2014-07-29 Caterpillar Inc. Virtual sensor system and method for generating output parameters
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013066486A1 (en) * 2011-11-03 2013-05-10 Raytheon Company Genset with integrated resistive loadbank system to provide short pulse duration power
US20130301673A1 (en) * 2012-05-04 2013-11-14 Jason Mobley Method and Apparatus for Measuring, Storing and Retrieving Food Temperature Data
US9387032B2 (en) * 2012-06-18 2016-07-12 Medtronic Ablation Frontiers Llc Systems and methods for detecting channel faults in energy delivery systems
US9740214B2 (en) 2012-07-23 2017-08-22 General Electric Technology Gmbh Nonlinear model predictive control for chemical looping process
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8701073B1 (en) * 2012-09-28 2014-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for across-chip thermal and power management in stacked IC designs
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8772055B1 (en) * 2013-01-16 2014-07-08 Applied Materials, Inc. Multizone control of lamps in a conical lamphead using pyrometers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9343903B2 (en) * 2013-03-14 2016-05-17 Mark Hauenstein Methods and systems architecture to virtualize energy functions and processes into a cloud based model
US9454158B2 (en) 2013-03-15 2016-09-27 Bhushan Somani Real time diagnostics for flow controller systems and methods
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015112969A1 (en) 2014-01-27 2015-07-30 Veeco Instruments. Inc. Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10006717B2 (en) * 2014-03-07 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking system and method of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10240870B2 (en) 2015-01-26 2019-03-26 Spex Sample Prep, Llc Method for operating a power-compensated fusion furnace
US11513042B2 (en) * 2015-01-26 2022-11-29 SPEX SamplePrep, LLC Power-compensated fusion furnace
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10082848B2 (en) * 2015-04-06 2018-09-25 Dell Products L.P. Systems and methods for thermal adaptation for virtual thermal inputs in a chassis infrastructure
JP6691355B2 (ja) * 2015-06-11 2020-04-28 株式会社ミクニ 流量制御装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
DE102016111065A1 (de) * 2016-06-16 2017-12-21 Iav Gmbh Ingenieurgesellschaft Auto Und Verkehr Regelverfahren mit modellbasiertem Anti-Windup
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10983538B2 (en) 2017-02-27 2021-04-20 Flow Devices And Systems Inc. Systems and methods for flow sensor back pressure adjustment for mass flow controller
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
FI128841B (en) * 2018-03-22 2021-01-15 Univ Helsinki Sensor calibration
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
JP7241597B2 (ja) * 2019-04-23 2023-03-17 東京エレクトロン株式会社 制御方法、計測方法、制御装置及び熱処理装置
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP3792705A1 (en) * 2019-09-11 2021-03-17 Siemens Gamesa Renewable Energy GmbH & Co. KG Methods for configuring and operating a thermal energy storage system and thermal energy storage system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
EP4197286A1 (en) * 2020-08-12 2023-06-21 Watlow Electric Manufacturing Company Method and system for providing variable ramp-up control for an electric heater
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102605999B1 (ko) * 2021-03-17 2023-11-23 세메스 주식회사 처리액 제공 유닛 및 이를 구비하는 기판 처리 장치
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230350438A1 (en) * 2022-04-29 2023-11-02 Semes Co., Ltd. Process measurement apparatus and method
JP2024044501A (ja) * 2022-09-21 2024-04-02 株式会社東芝 磁気ディスク装置

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU7972982A (en) 1981-01-29 1982-08-05 Varian Techtron Pty. Ltd. Voltage feedback temperature control
US4496940A (en) 1982-01-15 1985-01-29 Pall Corporation Sensing and indicator system for detecting heating element failures
JPS592318A (ja) * 1982-06-28 1984-01-07 Toshiba Mach Co Ltd 半導体気相成長装置
JPS61145606A (ja) * 1984-12-19 1986-07-03 Ohkura Electric Co Ltd 干渉対応形パタ−ン切換式温度制御装置
JPS61196515A (ja) * 1985-02-26 1986-08-30 Mitsubishi Electric Corp 帯域溶融型半導体製造装置
US4716520A (en) * 1986-01-22 1987-12-29 Nordson Corporation Method of checking channel connections and detecting heater circuit and temperature sensor malfunctions in multi-channel closed loop hot melt heating systems
DE3855871T2 (de) 1987-09-11 1997-10-16 Hitachi Ltd Vorrichtung zur Durchführung einer Wärmebehandlung an Halbleiterplättchen
KR970008334B1 (en) 1988-02-24 1997-05-23 Tokyo Electron Sagami Kk Method and apparatus for heat treatment method
JPH01246838A (ja) * 1988-03-28 1989-10-02 Nec Corp 半導体基板の熱処理方法
JPH0268611A (ja) * 1988-09-05 1990-03-08 Omron Tateisi Electron Co 温度調節器
US5228114A (en) * 1990-10-30 1993-07-13 Tokyo Electron Sagami Limited Heat-treating apparatus with batch scheme having improved heat controlling capability
US5280422A (en) 1990-11-05 1994-01-18 Watlow/Winona, Inc. Method and apparatus for calibrating and controlling multiple heaters
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5359693A (en) * 1991-07-15 1994-10-25 Ast Elektronik Gmbh Method and apparatus for a rapid thermal processing of delicate components
US5270520A (en) 1991-09-23 1993-12-14 Helen Of Troy Corporation Hair styling appliances and heater control circuits therefor
JPH05190472A (ja) * 1992-01-17 1993-07-30 Kokusai Electric Co Ltd Cvd装置
JPH0666484A (ja) * 1992-08-14 1994-03-08 Ulvac Japan Ltd 熱処理装置
US5340964A (en) * 1992-09-29 1994-08-23 Cincinnati Milacron Inc. Method and apparatus for monitoring electrical loads
US5442157A (en) 1992-11-06 1995-08-15 Water Heater Innovations, Inc. Electronic temperature controller for water heaters
US5378874A (en) 1993-04-05 1995-01-03 Whirlpool Corporation Diagnostic method and apparatus for a domestic appliance
US5616264A (en) 1993-06-15 1997-04-01 Tokyo Electron Limited Method and apparatus for controlling temperature in rapid heat treatment system
US5517549A (en) 1993-12-03 1996-05-14 Telefonaktiebolaget L M Ericcson Call logging in cellular subscriber stations
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
JP3553146B2 (ja) 1994-08-22 2004-08-11 本田技研工業株式会社 電気加熱式触媒制御装置
US5517594A (en) * 1994-10-17 1996-05-14 Relman, Inc. Thermal reactor optimization
GB9500109D0 (en) 1995-01-05 1995-03-01 Scapa Group Plc Apparatus for cleaning papermachine clothing
US5663899A (en) * 1995-06-05 1997-09-02 Advanced Micro Devices Redundant thermocouple
JP3602614B2 (ja) 1995-07-04 2004-12-15 本田技研工業株式会社 内燃機関の排気ガス浄化装置
JP3344220B2 (ja) 1996-06-25 2002-11-11 トヨタ自動車株式会社 空燃比センサのヒータ制御装置
US5790437A (en) * 1996-11-26 1998-08-04 Watlow Electric Manufacturing Company Graphical interface for programming ramping controllers
US5895596A (en) * 1997-01-27 1999-04-20 Semitool Thermal Model based temperature controller for semiconductor thermal processors
US5994675A (en) 1997-03-07 1999-11-30 Semitool, Inc. Semiconductor processing furnace heating control system
US5947718A (en) 1997-03-07 1999-09-07 Semitool, Inc. Semiconductor processing furnace
DE19711702C1 (de) * 1997-03-20 1998-06-25 Siemens Ag Anordnung zur Bearbeitung einer Substratscheibe und Verfahren zu deren Betrieb
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
KR20010071235A (ko) 1998-05-11 2001-07-28 세미툴 인코포레이티드 열반응기용 온도 제어 시스템

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI550681B (zh) * 2012-01-23 2016-09-21 東京威力科創股份有限公司 熱處理設備及控制該設備之方法

Also Published As

Publication number Publication date
US6211495B1 (en) 2001-04-03
US6207937B1 (en) 2001-03-27
JP2002515648A (ja) 2002-05-28
WO1999059196A1 (en) 1999-11-18
EP1093664A1 (en) 2001-04-25
US6441350B1 (en) 2002-08-27
US6222164B1 (en) 2001-04-24
KR20010071235A (ko) 2001-07-28
EP1093664A4 (en) 2003-07-09

Similar Documents

Publication Publication Date Title
TW446995B (en) Temperature control system for a thermal reactor
KR101182502B1 (ko) 기판의 이상 배치 상태의 검지 방법, 기판 처리 방법, 컴퓨터 판독 가능한 기억 매체 및 기판 처리 장치
TWI677037B (zh) 半導體基板支持組件之溫度控制板中的失效溫度控制元件之自動校正
CN107636817A (zh) 方位可调整的多区域静电夹具
TW525227B (en) Batch-type heat treatment apparatus and control method for the batch-type heat treatment apparatus
CN106024662B (zh) 使用喷头电压变化的故障检测
KR100960180B1 (ko) 열처리 방법, 열처리 장치, 제어 장치 및 제어 프로그램을 기록한 컴퓨터로 판독 가능한 기록 매체
KR102416770B1 (ko) 가열 장치 및 기판 처리 장치
KR20120112202A (ko) 플라즈마 처리 장치, 플라즈마 처리 방법 및 기억 매체
CN109148330B (zh) 热处理装置、热处理装置的管理方法以及存储介质
JP2005011852A (ja) 熱処理装置及び熱処理方法
TWI381453B (zh) Heat treatment apparatus, heat treatment method and memory medium
CN111621739A (zh) 半导体工艺设备及其温度控制方法
JP2013033967A (ja) 基板処理装置の異常検出方法、及び基板処理装置
JP6596316B2 (ja) 熱処理システム、熱処理方法、及び、プログラム
KR102234404B1 (ko) 기판 처리 시스템, 제어 장치, 성막 방법 및 프로그램
JP7158443B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム、および、基板処理方法
KR20210019057A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
TW201922049A (zh) 熱處理裝置、熱處理裝置之管理方法及記錄媒體
JP5142353B2 (ja) 基板処理装置、基板処理装置の異常検出方法、基板処理システム、基板処理装置の異常検出プログラム及び半導体装置の製造方法
TW564504B (en) Apparatus and method for heat treatment
KR20160137400A (ko) 온 디맨드 충진 앰플 재충진
CN114846588A (zh) 基板处理装置、半导体装置的制造方法、基板处理方法以及程序
JP2015170042A (ja) 温度制御装置、処理装置、および温度制御方法
JP6872914B2 (ja) 熱処理装置および熱処理方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees