KR20010071235A - 열반응기용 온도 제어 시스템 - Google Patents

열반응기용 온도 제어 시스템 Download PDF

Info

Publication number
KR20010071235A
KR20010071235A KR1020007012606A KR20007012606A KR20010071235A KR 20010071235 A KR20010071235 A KR 20010071235A KR 1020007012606 A KR1020007012606 A KR 1020007012606A KR 20007012606 A KR20007012606 A KR 20007012606A KR 20010071235 A KR20010071235 A KR 20010071235A
Authority
KR
South Korea
Prior art keywords
temperature
controller
control
control system
malfunction
Prior art date
Application number
KR1020007012606A
Other languages
English (en)
Inventor
폴 알. 맥휴
케빈 스탓다드
콘스탄티노스 차칼리스
Original Assignee
세미툴 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세미툴 인코포레이티드 filed Critical 세미툴 인코포레이티드
Publication of KR20010071235A publication Critical patent/KR20010071235A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/18Controlling or regulating
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B9/00Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity
    • F27B9/02Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity of multiple-track type; of multiple-chamber type; Combinations of furnaces
    • F27B9/021Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity of multiple-track type; of multiple-chamber type; Combinations of furnaces having two or more parallel tracks
    • F27B9/022With two tracks moving in opposite directions
    • F27B9/023With two tracks moving in opposite directions with a U turn at one end
    • F27B9/024With two tracks moving in opposite directions with a U turn at one end with superimposed tracks
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B9/00Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity
    • F27B9/30Details, accessories, or equipment peculiar to furnaces of these types
    • F27B9/40Arrangements of controlling or monitoring devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D19/00Arrangements of controlling devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D21/00Arrangements of monitoring devices; Arrangements of safety devices
    • F27D21/0014Devices for monitoring temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B9/00Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity
    • F27B9/06Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity heated without contact between combustion gases and charge; electrically heated
    • F27B9/062Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity heated without contact between combustion gases and charge; electrically heated electrically heated
    • F27B9/063Resistor heating, e.g. with resistors also emitting IR rays

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Feedback Control In General (AREA)
  • Control Of Temperature (AREA)
  • Control Of Resistance Heating (AREA)
  • Waste-Gas Treatment And Other Accessory Devices For Furnaces (AREA)

Abstract

열반응기(10)용 온도 제어 시스템은 다수의 온도 제어기를 포함하는데, 온도 제어기 각각은 주어진 온도 범위에 대해 최적화된 하나 이상의 동적 모델을 채용하며, 각 온도 범위는 다른 제어기의 온도 범위 밖이다. 또한, 제어 시스템은 대응하는 비가상 온도 센서(36, 42)의 하드웨어적 고장의 경우 강화된 램프 궤적 로직 및 가상 온도 센서를 채용한다. 온도 센서의 오동작 검출시에, 제어 시스템은 자동적으로 실제 온도 센서(36, 42)를 가상 온도 센서로 대체한다. 또한, 가열 조사(30)의 오동작을 검출하여 제어 모드를 전환시키는 제어 로직이 제공된다.

Description

열반응기용 온도 제어 시스템{TEMPERATURE CONTROL SYSTEM FOR A THERMAL REACTOR}
반도체 웨이퍼 및 다른 마이크로 전자 부품들의 처리 공정은 이러한 회로들 및 부품들의 거대한 생산량 및 이들과 연관된 상당한 가치로 인해 경제적으로 대단히 중요하다. 격심한 경쟁에 의해 생산에 있어 커다란 변화가 있어 왔다. 가장 커다란 변화중의 하나가 트랜지스터 및 형성되는 다른 장치들을 구성하는 회로들 및 부품들의 여러 최소 크기의 감소이다. 최소 크기의 감소는 더 높은 집적도, 더욱 복잡한 회로 및, 예를 들어 각각의 반도체 웨이퍼 또는 처리되는 다른 기판상에 더 많은 회로를 형성함으로써, 생산비의 절감을 달성하려는 요구에 의해 이루어졌다.
집적 회로 및 다른 마이크로 전자 부품에서 채용되는 최소 사이즈가 매우 감소하였지만, 추가적인 감소가 지속적으로 추구되고 있다. 최소 사이즈가 감소함에 따라, 공정동안의 정확한 온도 제어의 중요성이 더욱 더 증가하였다. 반도체 웨이퍼 및 다른 기판들이 처리되는 온도는 도펀트의 확산, 재료의 피복 또는 다른 수행되는 열적 공정에 중요한 영향을 미친다. 따라서, 소망하는 열처리 스펙(specification)을 만족시키기 위해 정확한 온도제어를 달성할 수 있는 공정 장비를 가지는 것이 중요하다.
반도체 장치의 열처리에 있어서 생기는 온도 제어 피드백 문제는 여러 다른 방식으로 생각되어 질 수 있다. 하나의 제어 문제는 제품 온도를 사용자에 의해 설정된 공정 레시피(recipe)에 일치시키는 것과 관련된다. 레시피는 웨이퍼 또는 다른 기판이 겪게 되는 전체 열처리를 규정하는 셋포인트(set-point) 온도, 온도 처리 지속 시간, 온도 램프(ramp) 속도 등을 포함한다. 이러한 레시피는 일반적으로 사용자에 의해 프로그램되며 최종 제품을 생산하는데 필요한 특정 열처리 요구사항에 의존한다. 레시피의 각 부분은 3개의 다른 단계라는 관점에서 고려될 수 있다. 하나의 단계는 작동 온도가 낮은 레벨의 셋포인트 온도로부터 높은 레벨의 셋포인트 온도로 증가되는 램프-업 단계이다. 다른 단계는 작동 온도를 높은 레벨의 셋포인트 온도로부터 낮은 레벨의 셋포인트 온도로 감소시키는 램프-다운 단계이다. 온도 램프-업 또는 램프-다운 단계 후에는 원하는 일정한 셋포인트 공정 온도가 유지되는 기간이 수반된다. 이러한 일정한 온도 단계는 변화하는 온도 램프가 종료하고 일정하거나 거의 일정한 온도가 달성되는 안정화 시기를 포함한다. 일정한 온도, 램프-업, 및 램프-다운 단계들은 하나의 공정 사이클에서 1회 이상 발생할 수도 있다. 최종적으로, 온도 제어 문제는 원하는 레시피 온도를 달성하는 것 및 여러 제조 과정 사이에서 비교적 일관성 있는 온도를 달성하는 것과 관련된다.
단순한 또는 보다 복잡한 온도 레시피가 사용되건, 열처리의 각 단계는 온도 및 열적 반응에 영향을 미치는 하나 이상의 보조 처리 가스 또는 증기상 처리 성분이 도입됨으로써 더욱 복잡해질 수도 있다. 이러한 보조 처리 가스는 일반적으로도펀트, 피복 물질 또는 수증기를 포함하는 가스이다.
마이크로 전자 회로 제조 산업의 점점 엄격해지는 요구조건들을 만족시키려면 다양한 온도 제어 문제가 열처리 제어 시스템에 의해 해결되어야 한다. 예를 들어, 일괄처리되는 각 웨이퍼는 전체 열처리 사이클을 통해 동일한 온도 조건에 노출되어야 한다. 제어되지 않은 채로 둘 경우, 열처리로(furnace)의 중앙부에 배치된 웨이퍼들과 비교할 때, 열처리로 내의 웨이퍼 어레이의 양단 근처에 배치된 웨이퍼들 사이에는 온도 편차가 생긴다. 처리 어레이 내에 포함된 웨이퍼 어레이를 따라 웨이퍼간 예상하기 어려운 편차가 있을 수도 있다.
각 웨이퍼 또는 처리되는 다른 제품의 폭에 걸쳐 발생하는 온도 편차와 연관된 다른 온도 제어 문제가 있다. 처리되는 제품의 주변 에지 근처에 배치된 가열 소자들로부터의 열은 처리 용기를 통해 방사된다. 웨이퍼의 안쪽 영역에 비해 웨이퍼의 주변 에지에 의해 경험되는 열흡수에 대해서도 편차가 발생할 수 있다. 웨이퍼들간에 발생할 수 있는 방사열 전달 및 방사 차폐의 정도에 있어서의 편차가 이러한 웨이퍼내 문제점을 더욱 악화시킨다.
전체 열처리 시간의 최소화도 열처리 제어기가 해결해야 하는 문제점이다. 처리 시간을 최소화하는 것은 일반적으로 램프-업 단계 온도 변화 속도를 증가시킨다. 반대로, 시간 문제는 램프-다운 단계 온도 변화 속도도 증가시킨다. 온도 변화 속도가 증가하면, 램프-업과 안정화 단계 사이 및 안정된 온도 단계와 비교적 급속한 온도 램프-다운 단계 사이의 전이시에 레시피 온도를 유지하는 것이 더욱 곤란해진다.
전통적으로, 반도체 열 반응기는 PID(Proportional-Integral-Derivative) 제어기를 사용하여 온도를 제어한다. 최근, H-∞ 제어에 기초한 더욱 정확한 온도 제어 모델이 개시되어 마이크로 전자 회로 제조에 사용되는 노에 사용되었다. 이러한 제어는 "MODEL BASED TEMPERATURE CONTROLLER FOR SEMICONDUCTOR THERMAL PROCESSORS"라는 명칭의 국제 공개 WO98/35531에 개시되어 있으며, 여기에서 참조하기 위해 삽입된다.
후자의 제어 시스템은 경험적 테스팅을 통해 강화된 동적 모델을 사용하여 사용자가 입력하는 레시피에 기초한 열처리 사이클을 제어하는 바람직한 동작 모드를 포함한다. 동적 모델은 일반적으로 소정의 온도 범위에서 이루어지는 경험적 테스팅에 기초한다. 이러한 소정의 온도 범위는 일반적으로 노가 가장 빈번하게 동작되는 온도를 중심으로 선택된다. 동적 모델이 강화되는 소정의 온도를 선택하는 다른 방법들이 사용될 수도 있다.
본 발명가들은 단일 제어기 설계의 정확도는 실제 노의 온도 또는 레시피의 셋포인트 온도가 소정의 온도 범위를 벗어남에 따라 감소하는 것을 알게 되었다. 소정의 단일 온도 범위를 중심으로 하여 기초한 단일 제어기 설계는 노를 셋포인트들이 이러한 온도 범위에 있는 공정 레시피에만 사용될 수 있도록 제한한다. 반도체 웨이퍼 및 다른 기판들에 수행되는 열처리 단계가 복잡해질 수록, 처리 온도의 넓은 동적 범위에 걸쳐 정확한 열처리 제어에 대한 요구가 증가한다.
또 다른 온도 제어 문제로서 사용자에 의해 설정된 공정 레시피의 실행 도중 하드웨어가 오동작을 일으킨 경우 어떻게 다루는가의 문제가 있다. 전통적으로,열 반응기의 온도 제어를 위해 사용되는 온도 제어 시스템은 선형적 램프 함수에 따라 원하는 셋포인트 온도까지 반응기를 구동시킨다. 반응기 온도가 이러한 방식으로 제어될 때의 반응기 온도 대 시간의 그래프가 도 1에 예시된다. 도시된 바와 같이, 반응기 온도는 온도 제어기가 최종적으로 반응기 온도를 셋포인트 온도로 제한할 수 있기 전에 셋포인트 온도를 초과해 버린다. 이러한 오버슛(overshoot)은 반도체 웨이퍼 또는 다른 제품의 열처리를 바람직하지 않은 방식으로 변화시킬 수도 있다. 이러한 오버슛은 특히 미크론 이하의 반도체 장치를 제조하는데 사용되는 선진 공정 기술이 열 반응 시스템에 요구하는 사항이 증가한다는 것을 감안할 때 더욱 문제가 된다.
또 다른 온도 제어 문제로서 사용자에 의해 설정된 공정 레시피의 실행 도중 하드웨어가 오동작을 일으킨 경우 어떻게 다루는가의 문제가 있다. 레시피의 실행 도중, 가열 소자들로의 파워 공급을 제어하고, 최종적으로는 반응기의 온도를 제어하기 위해 사용되는데 필요한 제어 출력 신호를 발생시키기 위해, 온도 제어기는 일반적으로 하나 이상의 감지된 온도 입력에 의존한다. 온도 감지 소자의 하드웨어적 오동작으로 인해 하나 이상의 감지된 온도 입력이 부정확하게 되는 경우, 결과적인 제어 출력 신호들도 부정확하게 된다.
온도 감지 소자의 하드웨어적 오동작을 검출하면, 열 반응기의 온도 제어에 사용되는 온도 제어 시스템은 반응기 동작을 멈추게 하여 레시피의 실행을 중지시킬 수도 있다. 이것은 반응기의 완전한 정지 및 처리중인 제품의 열처리의 중단을 초래한다. 반도체 집적 회로에 대한 엄격한 열처리 요구 조건을 감안하면, 이러한중단은 처리중인 반도체 웨이퍼의 완전한 손실을 초래할 수도 있다. 이러한 손실은 특히 반도체 웨이퍼들이 그 처리 공정의 종반부에 있을 때 매우 큰 경제적 손실을 야기한다. 마찬가지로, 이러한 손실은 반도체 웨이퍼가 클 때(예를 들어, 300㎜) 매우 크다.
또 다른 문제로서 가열 소자 오동작 검출 및 핸들링 문제가 있다. 본 발명자들은 가열 소자 오동작이 다양한 순간적 조건들에 의해 발생할 수 있으며, 이러한 순간적 조건들이 없어지거나 정화된 후에는 가열 소자가 정상적으로 다시 작동한다는 것을 발견하였다. 이러한 경우에, 가열 소자는 소망의 온도까지 부적합한 방식으로 제어될 수 있어, 처리되고 있는 제품들에 손상을 가하고 가열 소자의 더 심한 오동작(이상(異常)온도 조건)을 유발할 수도 있다.
본 발명자들은 이러한 상기 문제점들 각각을 인식하고 이러한 문제들을 독창적이고 효율적인 방식으로 해결하는 열 반응기를 위한 온도 제어 시스템을 발명하였다.
도 1은 종래 온도 제어 시스템을 사용할 때 일반적으로 발생되는 온도 오버샷을 예시하는 반응기 온도 대 시간의 그래프.
도 1A는 본 발명의 온도 제어 시스템을 사용하여 제어될 수 있는 열 반응기 시스템의 부분적으로 단면이 취해진 측면도.
도 1B는 열전기쌍이 설치된 웨이퍼를 사용한 모델링 및 특성화시의 도 1의 열 반응기 시스템의 부분적으로 단면이 취해진 측면도.
도 2는 본 발명의 온도 제어 시스템을 포함하는 전체 제어 시스템의 바람직한 아키텍쳐를 도시하는 블록도.
도 3은 도 2의 제어 시스템과 함께 사용될 수 있는 공정 시퀀싱 시스템 및 가스 인터페이스의 일 실시예를 예시하는 모식적 블록도.
도 4는 본 발명의 일 실시예에 따라서 만들어진 온도 제어 시스템의 동작을 예시하는 로직 흐름도.
도 5는 도 4의 시스템을 구성하는데 사용될 수 있으며 H-∞ 제어 로직을 사용하는 제어기의 동작을 예시하는 로직 흐름도.
도 6은 온도 오버샷을 가진 온도 제어 시스템의 일 실시예의 동작을 예시하는 로직 흐름도.
도 7은 램프-업 온도 단계에서 온도 오버샷을 억제하기 위하여 온도 제어 시스템의 제어기 입력에 적용될 수 있는 수정 램프 함수의 일 실시예를 예시하는 그래프.
도 8은 램프-업 온도 단계에서 온도 오버샷을 억제하기 위하여 온도 제어 시스템의 제어기의 입력에 적용될 수 있는 수정 램프 함수의 다른 실시예를 예시하는 그래프.
도 9는 램프-업 온도 단계에서 온도 오버샷을 억제하기 위하여 온도 제어 시스템의 제어기의 입력에 적용될 수 있는 수정 램프 함수의 또 다른 실시예를 예시하는 그래프.
도 10은 도 9에 도시된 곡선의 모양에 대한 최소 램프 값의 영향을 예시하는 그래프.
도 11은 가상 열전기쌍 로직이 있는 온도 제어 시스템의 하나의 실시예의 동작을 예시하는 로직 흐름도.
도 12는 도 11에 예시된 전체 시스템에 사용하기에 적합한 가상 열전기쌍 로직의 일 실시예를 예시하는 로직 흐름도.
도 13은 스파이크 제어기를 채용한 소자 제어 모드 로직 회로의 일 실시예를 예시한 제어도.
도 14는 프로파일 제어기 및 도 13의 스파이크 제어기를 채용한 베이스 제어 모드 로직 회로의 일 실시예를 예시하는 제어도.
도 15는 웨이퍼 제어기, 도 14의 프로파일 제어기 및 도 13의 스파이크 제어기를 채용한 동적 제어 모드 로직 회로의 일 실시예를 예시하는 제어도.
도 16은 Dt 비선형 제어기, 도 14의 프로파일 제어기 및 도 15의 스파이크 제어기를 채용한 Dt 제어 모드 로직 회로의 일 실시예를 예시하는 제어도.
열 반응기의 온도를 제어하기 위한 온도 제어 시스템이 개시된다. 온도 제어 시스템은 제1 제어값 세트를 발생시키기 위해 다수의 측정된 입력 파라미터에 제1 동적 모델을 적용하는 제1 온도 제어기를 포함한다. 제1 동적 모델은 제1 온도 범위에 대한 최적의 제1 제어값 세트를 제공하기 위해 제1 온도 범위에 걸쳐 최적화되도록 설계된다. 온도 제어 시스템은 제2 제어값 세트를 발생시키기 위해 다수의 측정된 입력 파라미터에 제2 동적 모델을 적용하는 제2 온도 제어기를 포함한다. 제2 동적 모델은 제2 온도 범위에 대한 최적의 제2 제어값 세트를 제공하기 위해 제2 온도 범위에 걸쳐 최적화되도록 설계된다. 제1 온도 범위는 제2 온도 범위와 다르다. 제1 또는 제2 제어값 세트중 어느 것이 열 제어기의 온도 제어를 위해 사용될 것인가를 결정하기 위해, 제어 출력 선택 스위치 로직이 제공된다. 제2 제어값 세트가 반응기의 온도를 제어하는데 사용되는 경우, 오브저버 게인 피드백(observer gain feedback) 시스템이 제1 제어값 세트를 제2 제어값 세트를 향해 변화시키는데 사용된다. 마찬가지로, 제1 제어값 세트가 반응기의 온도 제어에 사용되는 경우, 오브저버 게인 피드백 시스템이 제2 제어값 세트를 제1 제어값 세트를 향해 변화시키는데 사용된다. 제어 출력 선택 스위치 로직이 반응기 온도의 제어를 제1 온도 제어기와 제2 온도 제어기 사이에서 전환할 때, 이러한 오브저버 게인 피드백이 반응기 온도의 최적 제어를 가능케 한다. 다른 온도 범위를 다루기 위해 다른 온도 제어기들이 추가될 수 있으며, 이에 따라 오브저버 게인 피드백이 확장될 수 있다.
제어 시스템의 다른 태양에 의하면, 제어 시스템은 대응하는 비가상 온도 센서의 하드웨어적 오동작의 경우 가상 온도 센서를 채용한다. 비가상적 온도 센서의 오동작을 검출하면, 온도 제어 시스템은 자동적으로 제어 시스템 입력으로서 그것 대신에 가상적 온도 센서로 대체시킨다. 가상 온도 센서 로직은 하나 이상의 측정된 입력 변수들을 받아서, 측정된 입력 변수들에 의해 표시되는 조건들하에서의 비가상적 온도 센서 값을 근사시키는 가상 온도 센서 출력값에 측정된 입력 변수들을 연관시키는 동적 모델에 이들 입력 변수들을 적용시킨다. 이러한 방식으로, 공정 레시피의 실행은 계속될 수 있으며 하드웨어 오동작의 결과 파괴되는 제품의 수를 억제할 수 있다.
온도 제어 시스템의 또 다른 태양에 의하면, 제어 시스템은 강화된 램프 궤적 로직을 포함한다. 이러한 로직은 오버샷 제어를 제공하는데 도움을 주며, 또한 반응기의 전체적인 동작을 안정화시키는데 도움을 준다.
온도 제어 시스템의 또 다른 태양에 의하면, 온도 제어 시스템은 가열 소자의 오동작시 시스템의 제어 모드를 전환시키는 제어 로직을 포함한다. 이것은 하나 이상의 가열 소자들의 오동작시 처리중인 제품의 전체가 손실될 가능성을 감소시킨다.
상기한 문제들을 해결하는 열 반응기용 온도 제어 시스템이 개시된다. 개시된 제어 시스템의 일 태양에 의하면, 다수의 온도 제어기가 사용된다. 각각의 온도 제어기는 주어진 온도 범위에 대해 최적화된 하나 이상의 동적 모델을 채용한다. 특정한 제어기가 최적화된 온도 범위는 다수의 온도 제어기 중 다른 제어기가 최적화된 온도 범위 밖인 것이 일반적으로 바람직하다. 다수의 제어기가 반응기 온도의 제어에 사용된다. 반응기 온도를 제어하기 위해 다수의 제어기 중 어느 것이 사용되는 가는 온도 제어 시스템으로의 하나 이상의 입력 파라미터에 의존한다. 각각의 제어기는 다수의 제어기를 서로 연결시키는 오브저버 게인 피드백 로직을 포함한다. 오브저버 게인 피드백 로직은 제어기 출력이 그 당시 반응기 온도를 제어하는데 사용되는 제어기의 출력을 추적하도록 한다.
제어 시스템의 다른 일 태양에 의하면, 제어 시스템은 대응하는 비가상적 온도 센서의 하드웨어적 오동작시에 가상적 온도 센서를 사용한다. 비가상적 온도 센서의 오동작을 검출하면, 온도 제어 장치는 자동적으로 제어 시스템 입력으로서 그것 대신에 가상적 온도 센서로 대체한다. 가상 온도 센서 로직은 하나 이상의 측정된 입력 변수들을 받아서, 측정된 입력 변수들에 의해 표시되는 조건들하에서의 비가상적 온도 센서 값을 근사시키는 가상 온도 센서 출력값에 측정된 입력 변수들을 연관시키는 동적 모델에 이들 입력 변수들을 적용시킨다. 이러한 방식으로 공정 레시피의 실행은 계속될 수 있으며 하드웨어 오동작의 결과 파괴되는 제품의 수를 억제할 수 있다.
온도 제어 시스템의 또다른 태양에 의하면, 온도 제어 시스템은 가상 열전기쌍 로직을 포함한다. 이 열전기쌍 로직은 하나 이상의 열전기쌍 장치의 오동작을 검출하면, 그 오동작 부품을 효과적으로 대체시킨다. 이것은 하나 이상의 온도 센스 회로에서 오동작이 있을 경우에 전 제품이 손실될 수 있는 가능성을 감소시킨다.
온도 제어 시스템의 또 다른 태양에 의하면, 제어 시스템은 가열 소자의 오동작시 시스템의 제어 모드를 전환시키는 제어 로직을 포함한다. 이것은 하나 이상의 가열 소자들의 오동작시 처리중인 제품의 전체가 손실될 가능성을 감소시킨다.
본 발명의 상기한 태양 모두는 하나의 온도 제어기로 통합될 수 있다. 또는, 이들 온도 제어 시스템 개선점들은 여기에 개시된 다른 발명적 태양에 의존하지 않고, 다른 개별적 소자들로서 구현될 수 있다.
전형적인 온도 제어기
도 1A는 본 발명의 온도 제어 시스템을 사용하여 제어될 수 있는 열 반응기 시스템(10)의 일 실시예를 예시한다. 열 반응기 시스템(10)은 열 반응기(12)를 포함한다. 도 1A의 반응기는 수직 방향으로 도시되었지만, 열 반응기(12)는 수평 또는 수직 방향일 수 있다.
열 반응기(12)는 반응 챔버를 규정하는 프로세스 튜브(14)를 포함한다. 프로세스 튜브(14)는 석영 또는 실리콘 카바이드로 이루어지는 것이 바람직하다. 예시된 실시예에서, 프로세스 튜브는 개방단(16)을 가진 속이 빈 실린더 형상이며 종축을 따라 연장하며 실질적으로 종축을 정의하는 길이를 가진다. 열 반응기 시스템(10)은 프로세스 튜브(14) 내외로 웨이퍼(20)를 넣고 빼내는 보우트 로더(boat loader) 또는 패들(paddle)(18)을 포함한다. 특히, 보우트 로더(18)는 지지부(22), 및 지지부와 함께 움직일 수 있으며 지지부(22)가 프로세스 튜브내로 넣어질 때 프로세스 튜브(14)의 개방단을 막는 입출구부(24)를 포함한다. 입출구부(24)는 웨이퍼(20)가 프로세스 튜브(14)의 챔버내로 넣어진 후 열 손실을 방지하기 위해 프로세스 튜브를 봉합하여 절연시킨다.
웨이퍼 로드(load)(20)는 예컨대 석영 또는 실리콘 카바이드로 이루어진 다수의 보우트(26)를 포함하는 것이 바람직하다. 웨이퍼 로드(20)는 다수의 실리콘 웨이퍼(26)를 더 포함하며, 각 보우트(24)는 다수의 웨이퍼(26)들을 지지한다. 예시된 실시예에서, 각 보우트(24)상의 웨이퍼들은 동일한 간격으로 이격되며웨이퍼(26)의 보우트들은 일반적으로 웨이퍼 또는 다른 반도체 제품 처리 어레이를 형성한다.
열 반응기(12)는 또한 프로세스 튜브(14)를 둘러싸는 하나 이상의 가열 소자(30)를 포함한다. 예시된 실시예에서, 가열 소자(30)는 프로세스 튜브(14)의 길이 방향에 평행한 프로세스 챔버의 길이방향을 따라 연장하는 전기 저항 가열 코일 또는 코일들이다. 가열 소자(30)는 예컨대, 코일 또는 코일들을 개별적으로 제어가능한 영역으로 분할하기 위해 코일을 따라 접속부를 제공함으로써, 다수의 개별적으로 제어가능한 가열 영역(32)으로 분할되는 것이 바람직하다. 영역들은 코일 또는 더 큰 코일의 일부와 연관된 각 영역의 대향하는 단에 파워를 공급함으로써 개별적으로 제어된다. 도 4에 도시된 바와 같이, 열반응기 시스템(10)은 각 가열 영역(32)에 제어가능하게 파워를 공급하기 위한 고전류 전압 변환기(33) 및 SCR(Silicon Controlled Rectifier)을 포함한다.
세라믹 절연부(35)가 가열 소자(30)를 둘러싼다. 절연부는 열이 웨이퍼 어레이를 향하게 하거나 반사하는 역할을 하며, 또한 처리 어레이로부터 벗어나는 열 플럭스 편차를 최소화하는 균일층을 제공하는 역할을 한다.
온도 피드백 및/또는 공정 온도를 제어하기 위해 사용되는 입력은 2이상의 소스로부터 얻어진다. 즉, 다수의 스파이크 열전기쌍(36) 및 다수의 프로파일 열전기쌍(42)이 그것이다. 본 명세서에서 사용되는 열전기쌍이라는 용어는 열전기쌍의 더욱 특정된 의미를 포함하는 다양한 온도 센서들을 포함한다. 다른 온도 센서 구조들이 열전기쌍이라는 용어에 의해 의도될 수 있다.
스파이크 열전기쌍(36)은 가열 소자(30)와 프로세스 튜브(14) 사이와 같이 적합한 위치에 배치되어 각각의 영역의 가열 소자의 온도를 측정한다. 스파이크 열전기쌍(36)은 가열 소자(30)의 길이방향을 따라 이격되어 있으며, 하나 이상의 열전기쌍(36)이 각 가열 영역(32)에 배치된다. 스파이크 열전기쌍(36)은 각 가열 영역의 가열 소자의 온도에 대한 가장 특정적이고 즉각적인 표시를 제공한다.
프로파일 열전기쌍(42)은 길쭉한 프로파일 봉(40)을 따라 배치되며 프로세스 튜브(14)내로 연장하는 외장(sheath)(38)내에서 지지된다. 외장(38)은 석영 또는 실리콘 카바이드로 이루어지는 것이 바람직하다. 프로파일 봉(40)은 프로세스 튜브(14)의 길이방향에 평행한 길이를 가진다. 하나 이상의 열전기쌍(42)이 각 가열 영역(32)내에 배치된다. 그러나, 프로파일 열전기쌍(42)은 스파이크 열전기쌍(36)과 반드시 정렬될 필요는 없다. 프로파일 열전기쌍(42)은 프로세스 튜브(14) 내의 온도를 측정하며 각 가열 영역내의 웨이퍼 로드(20)의 온도를 표시한다.
열전기쌍이 구비된 다수의 웨이퍼(44)들이 열 반응기의 모델링 동안 선택적으로 사용된다. 도 1B는 모델링동안 열전기쌍이 구비된 웨이퍼들(44)을 수용하는 도 1A의 열 반응기를 도시한다. 이러한 열전기쌍이 구비된 웨이퍼(44)들은 웨이퍼 로드(16)에 걸쳐 균일한 간격으로 이격되어 웨이퍼(28)들의 실제 온도의 정확한 측정을 제공한다. 열전기쌍이 구비된 웨이퍼(44) 각각은 실리콘 웨이퍼를 포함하며, 두 개의 열전기쌍(46)이 실리콘 웨이퍼에 부착되는데, 하나는 웨이퍼의 에지상에, 다른 하나는 웨이퍼의 중앙부에 부착된다. 열전기쌍이 구비된 각 웨이퍼(44)의 열전기쌍은 정확한 온도 측정을 위해 세라믹 접착제 등으로 실리콘 웨이퍼에 부착된다.
열반응기 시스템(10)의 기본 구조는 다양한 범위의 마이크로 전자 회로 제조 공정에 적용될 수 있도록 확장될 수 있다. 예를 들어, 열 반응기 시스템(10)은 실리콘 웨이퍼(28)의 표면상에 물질을 피복하거나 성장시키거나 확산시키기 위해 선택가능한 가스 공급원(50)들로부터 프로세스 튜브(14)내로 공정 가스를 제어가능하게 주입하는 가스 전달 시스템 또는 가스 패널(48)을 포함할 수 있다. 도 3에 도시된 바와 같이, 가스 패널(48)은 밸브(52) 및 질량 유동 제어기(54)를 포함한다. 질량 유동 제어기(52)는 프로세스 튜브(14)내로의 공정 가스의 흐름을 측정하고 제어하는데 사용된다. 열반응기(12)는 프로세스 튜브(14) 내부 또는 외부에 토치(torch)(62)를 더 포함할 수도 있다. 토치(62)는 일정비의 수소 및 산소를 연소시켜 프로세스 튜브(14)내에 수증기를 발생시킴으로써 습식 산화공정에 사용된다.
다른 실시예에 의하면, 프로세스 튜브(14)는 LPCVD 공정을 위해 압력이 조절될 수 있다. 도 3에 도시된 바와 같이, 이러한 열 반응기 시스템(10)은 압력 제어기(56) 및 프로세스 튜브내의 압력을 측정하며 측정된 압력을 압력 제어기(56)에 알려주는 바라트론(baratron) 또는 다른 적합한 압력 감지 장치(58)를 포함하는 것이 바람직하다. 이러한 실시예에서, 열 반응기 시스템(10)은 LPCVD 공정을 위해 프로세스 튜브(14)내에 원하는 압력을 달성하는데 사용되며 압력 제어기(56)와 연결된 펌프 및 밸브(60)를 포함할 수 있다.
상기한 하드웨어의 동작 제어는 사용자가 프로그램한 레시피를 입력하여 수행하는데 적합한 프로그램 가능한 제어 시스템을 하드웨어와 인터페이싱함으로써달성되는 것이 바람직하다. 도 2에서, 열 반응기 시스템(10)은 온도 및 열 반응기(12)의 다른 공정들을 제어하기 위한 제어 시스템(64)을 포함한다. 제어 시스템(64)은 두 개의 서브시스템으로 나누어지는 것이 바람직하다. 즉, 공정 순서를 받아들여 실행하는 공정 시퀀싱 서브시스템(66), 및 공정 순서에 따라 온도를 제어하는 온도 서브시스템(68)이 그것이다. 공정 시퀀싱 서브시스템(66) 및 온도 제어 서브시스템(68)은 사용자 인터페이스(82)를 통해 입력되는 사용자 정의 공정 레시피를 따른다.
예시된 실시예에서, 공정 시퀀싱 서브시스템은 RAM(72)과 인터페이싱되는 마이크로프로세서, 제어기 로직을 저장하는 프로그램가능 EPROM(74), 다수의 디지털 입출력 채널(76), 다수의 아날로그 입출력 채널(78), 및 사용자 인터페이스(82)를 포함한다. 외부와의 통신이 필요한 경우, 외부(원격) 통신을 위한 다수의 시리얼 입출력 채널(80)이 포함될 수도 있다. 다른 사용자 인터페이스가 채용될 수 있지만, 개시된 실시예의 사용자 인터페이스(82)는 사용자가 사용자 정의 공정 레시피를 입력할 수 있는 터치 스크린 터미널 인터페이스를 포함한다. 공정 레시피에서, 사용자는 단계별로 시간, 가스 유량, 챔버 압력, 온도 셋포인트, 및 램프 속도를 정의할 수 있다. 온도 제어에 필요한 파라미터들은 레시피의 온도 제어라는 면을 구현하기 위해 온도 제어 서브시스템에 의해 사용되는 입력 파라미터로서 온도 제어 서브시스템(68)으로 통신된다. 이러한 통신 능력은 라인(87)에 의해 예시된다. 개시된 실시예에서, 이러한 파라미터들은 적어도 레시피를 위해 사용자가 입력한 온도 셋포인트를 포함한다.
상기한 바와 같이, 열 반응기 시스템(10)은 성막에 사용될 수 있는 가스 전달 시스템 및/또는 압력 제어 시스템과 같은 확장부를 포함할 수 있다. 이러한 시스템은 공정 시퀀싱 서브시스템(66)과 가스 전달 시스템 및/또는 압력 제어 시스템 등의 하드웨어 사이의 인터페이스를 제공하는 도 3에 도시된 제어 시스템 인터페이스를 포함할 수 있다. 도 3에 도시된 바와 같이, 가스 패널 인터페이스(104)는 가스 패널(48)과 공정 시퀀싱 서브시스템(68) 사이를 접속시킨다. 가스 패널 인터페이스(104)는 질량 유량 제어기(54), 가스 밸브(52), 내부 또는 외부 토치(62), 압력 제어기(56), 보우트 로더(18) 등과 통신하기 위한 인터페이스를 제어 시스템(64)에 제공한다. 또한, 가스 패널 인터페이스(104)는 열 반응기를 위한(예를 들어, 적절한 산소 대 수소 비율로 수소가 유동하도록 하는 것, 또는 토치(62)로부터의 불꽃을 검출하는 것 등) 다수의 하드웨어 안전 보호장치를 포함할 수 있다.
온도 제어 시스템(68)은 사용자가 프로그램한 레시피에 따라 열 반응기(12)내의 온도를 제어한다. 온도 제어는 원하는 온도 상태가 측정가능한 시스템 파라미터에 기초하여 모델링된 열 반응기(12)의 동적 모델링에 기초하는 것이 바람직하다. 동작시에, 레시피는 원하는 온도 상태로 열 반응기(12)를 구동시키기는 하나 이상의 동적 모델에 의해 사용되는 온도 셋포인트 값을 포함한다.
다수의 온도 제어기를 가진 열 반응기
도 4에서, 온도 제어 서브시스템(68)은 각 미리 정의된 가열 영역에 대한 적어도 2개의 입력 신호를 수신한다. 여기서 두 개의 입력 신호는 각 가열 영역에대한 프로파일 열전기쌍에서의 온도가 결정될 수 있는 프로파일 열전기쌍 입력 및 각 가열 영역에 대한 스파이크 열전기쌍에서의 온도가 결정될 수 있는 스파이크 열전기쌍 입력이다. 이들 프로파일 및 스파이크 온도는 라인(215)에 의해 예시된 바와 같이 열전기쌍 인터페이스(130)를 통해 제공되며, 라인(135)에서의 온도 셋포인트 입력과 함께 사용되어 라인(140)에서 하나 이상의 가열 소자 출력 제어값을 제공한다. 라인(140)에서의 출력 제어값은 가열 소자 제어 인터페이스(145)의 입력측에 공급되며 라인(150)에서 각 가열 영역 소자들에 공급되는 전기적 파워를 제어하는데 사용된다.
도 4는 온도 제어 서브시스템(68)을 구현하는 하나의 방법을 예시한다. 예시한 바와 같이, 다수의 다변수 제어기(96, 98 및 100)가 사용된다. 각 제어기(96, 98 및 100)는 다른 제어기의 온도 범위밖인 소정의 온도 범위에 걸쳐 최적화된 제어 정밀도를 위해 경험적 테스트 데이터로부터 얻어진 하나 이상의 동적 모델에 기초하여 설계된다. 이를 위해, 제어기(96)는 낮은 레벨의 온도 범위에 걸친 최적화된 온도 제어를 위해 설계되며, 제어기(98)는 중간 레벨의 온도 범위에서 최적화된 온도 제어를 위해 설계되며, 제어기(100)는 높은 레벨의 온도 범위에 걸친 최적화된 온도 제어를 위해 설계된다. 제어기가 설계된 온도 범위는 일반적으로 특정적이지만, 온도 범위간의 약간의 중첩이 바람직할 수도 있다. 시스템의 한 실시예에 의하면, 낮은 레벨의 온도 범위는 500℃를 중심으로 하고, 중간 레벨의 온도 범위는 800℃를 중심으로 하며, 높은 레벨의 온도 범위는 1050℃를 중심으로 할 수 있다. 각 제어기가 동작하는 범위는 중심 온도 주위로 ±150℃ 정도의범위로 연장된다.
각 제어기(96, 98 및 100)는 가열되어야 하는 제품 및 노에 대한 경험적으로 얻어진 모델을 가진 신뢰성있는 최적 제어 이론을 사용하여 형성된다. 특히, 예시된 실시예에서, 다변수 제어기(96, 98 및 100)는 H-Infinity 제어 이론을 사용하여 제조되는 것이 바람직하다. 제어기(96, 98 및 100)에 사용되는 모델을 얻기 위한 하나의 방식이 상기한 WO98/35531에 개시된다. 상기 출원에 개시된 바와 같이, 각 제어기 설계는 바람직하게는 2개의 동적 모델을 사용한다. 동적 모델중 하나는 파워 입력을 스파이크 출력에 연관시키고, 제2 모델은 스파이크 입력을 프로파일 출력에 연관시킨다. 그러나, 다른 다변수 제어 로직 설계가 사용될 수도 있다.
도 4에 예시된 제어 로직 흐름은 제어기(96, 98 및 100)를 설계하는데 사용되는 특정의 제어 이론과는 무관하다. 각 제어기(96, 98 및 100)는 하나 이상의 측정된 변수 입력에 기초하여 정확한 제어 출력을 발생시킬 수 있어야 한다는 것이 유일한 일반적 요건이다.
예시된 시스템에서, 각 제어기에 대한 변수 입력은 셋포인트 입력 및 열전기쌍(36 및 42)에 의해 검출된 온도를 표시하는 하나 이상의 온도 데이터 입력을 포함한다. 155라는 로직 블록 형태로 도시된 셋포인트 입력은 성취되어야 하는 셋포인트 온도값을 저장한다. 이 값은 시스템(10)에 의해 실행되는 특정 레시피에 의해 결정되며, 각 제어기(96, 98 및 100)의 입력측에 동시에 제공된다. 마찬가지로, 각 제어기(96, 98 및 100)는 열전기쌍(36 및 42)에 의해 감지되는 온도값들을표시하는 다수의 데이터 값들을 동시에 수신한다. 라인(160, 165, 및 170)에 의해 예시된 바와 같이, 셋포인트 온도 값 및 열전기쌍 데이터 값은 각 출력 제어 값을 발생시키기 위해 각 제어기(96, 98 및 100)와 연관된 동적 모델에 적용된다.
상기한 바와 같이, 각 제어기에 의해 사용된 동적 모델은 다른 제어기의 동적 모델이 설계된 온도 범위를 제외한 온도 범위에서 사용되도록 최적화된다. 라인 (160, 165, 및 170)에서의 출력 제어값들은 반응기(12)를 원하는 셋포인트 온도로 구동시키는데 사용될 수 있는 3개의 잠재적인 솔루션을 제공한다. 제어기에 의해 사용되는 모델들이 서로 다르며 소정의 온도 범위에 대해서만 최적화되어 있기 때문에, 3개의 잠재적 솔루션중 하나만이 주어진 반응기 조건에 대해 최적하게 된다. 온도 서브시스템(68)은 가열 소자, 따라서 반응기 온도를 제어하기 위해, 어떤 제어 값 세트가 가열 소자 파이어링(firing) 인터페이스(145)에 제공되어야 하는 가를 선택한다.
예시된 시스템에서, 어떤 제어 값 세트가 인터페이스(145)에 제공되는 가의 선택은 175의 로직 블록 형태로 도시된 제어 출력 선택 스위치 로직에 의해 이루어진다. 라인(160, 165, 및 170)의 제어 값들은 제어 출력 선택 스위치(175)의 입력측에 제공되며, 이는 소정의 선택 기준에 기초하여 라인(140)에 의해 도시된 바와 같이 하나의 제어 값 세트를 제어 값 출력으로 전환시킨다. 선택 기준은 제어기(96, 98 및 100)를 얻는데 사용된 동적 모델 중 어느 것이 주어진 조건하에서 최적인가를 표시하는 측정가능한 입력값에 기초하는 것이 바람직하다.
어떤 제어값들이 가열 소자 파이어링 인터페이스(145)에 공급되어야 하는가를 선택하기 위한 기초로서 제어 출력 선택 스위치(175)에 의해 사용될 수 있는 기준 중 하나가 라인(135)의 셋포인트 입력 값이다. 라인(135)에 의해 도시된 바와 같이, 셋포인트 입력 값은 제어 출력 선택 스위치(175)의 입력으로서 제공될 수 있다. 라인 (160, 165, 및 170)에 예시된 제어값 세트 중 어느 것이 제어 출력 선택 스위치(175)의 출력측에 제공되는 가는 라인(135)에서 제공되는 특정 온도 셋포인트 입력값에 의존한다.
하나의 동작 모드에 의하면, 온도 셋포인트 입력값에 의존하는 스위칭 로직은 상위 및/또는 하위 셋포인트 온도 한계치를 각 제어기(96, 98 및 100)에 할당하는 것과 관련될 수 있다. 예를 들어, 셋포인트 온도값이 주어진 한계치 Tlow미만인 경우 낮은 레벨의 온도 제어기(96)의 출력값(160)이 출력(140)으로 전환될 수 있다. 셋포인트 온도가 Tlow보다 크지만 다른 한계치인 Thigh보다 작은 경우에는, 중간 레벨의 온도 제어기(98)의 라인(165)에서의 제어값 세트가 출력(140)으로 전환될 수 있다. 마찬가지로, 셋포인트 온도값이 Thigh보다 큰 경우, 높은 레벨의 온도 제어기(100)의 라인(170)에서의 제어값 세트가 출력(140)으로 전환될 수 있다. 본 예에서, Tlow는 낮은 레벨의 온도 제어기(96)에 의해 사용되는 동적 모델이 정확하거나 및/또는 최적화된 상위 온도값에 근접하도록 선택되는 것이 바람직하다. 또는(혹은 또한), Tlow는 중간 레벨의 온도 제어기(98)에 의해 사용되는 동적 모델이 정확하거나 및/또는 최적화된 하위 온도값에 근접하도록 선택될 수 있다. Thigh는 중간레벨의 온도 제어기(98)에 의해 사용되는 동적 모델이 정확하거나 및/또는 최적화된 상한 온도값에 근접하도록 선택될 수 있다. 또는(혹은 또한), Thigh는 높은 레벨의 온도 제어기(100)에 의해 사용되는 동적 모델이 정확하거나 및/또는 최적화된 하한 온도값에 근접하도록 선택될 수 있다.
어떤 제어값 세트가 가열 소자 파이어링 인터페이스(145)에 제공되는가를 선택하기 위한 기초로서 제어 출력 선택 스위치(175)에 의해 사용될 수 있는 다른 기준으로서, 하나 이상의 열전기쌍(36 및 42)에 의해 검출되는 열전기쌍 온도 입력값이 있다. 라인(180)에 의해 도시되는 바와 같이, 열전기쌍 온도 입력값은 제어 출력 선택 스위치(175)로의 입력으로서 제공될 수 있다. 따라서, 라인(160, 165, 및 170)에 예시된 어느 제어값 세트가 제어 출력 선택 스위치(175)에 제공되는 가는 라인(180)에 제공되는 특정 열전기쌍 온도 입력값에 의존한다. 바람직하게는 도 2의 스파이크 열전기쌍(36a)과 같은 반응기 챔버의 중앙부 근처에 배치되는 열전기쌍이 열전기쌍 온도 입력값을 제공하는데 사용된다. 반응기 챔버의 중앙부 근처에 배치되는 열전기쌍들은 전체 챔버에 걸친 평균 온도를 더욱 잘 표시하는 온도 입력 값을 제공하는 경향이 크다.
동작시에, 열전기쌍 온도 입력 값에 의존하는 스위칭 로직은 각 제어기(96, 98, 및 100)가 출력으로서 선택되는 온도 범위를 할당하는 데 연관된다. 열전기쌍 입력 값이 주어진 한계치 Tlow보다 낮은 범위의 온도를 표시하는 경우에는, 낮은 레벨의 온도 제어기(96)의 라인(160)에서 사용가능한 제어값 세트가 출력(140)으로전환된다. 열전기쌍 입력 값이 Tlow보다 높지만 다른 한계치 Thigh보다 낮은 범위의 온도를 표시하는 경우에는, 중간 레벨의 온도 제어기(98)의 라인(165)에서 사용가능한 제어값 세트가 출력(140)으로 전환된다. 마찬가지로, 열전기쌍 입력값이 Thigh보다 높은 범위인 온도를 표시하는 경우, 높은 온도 제어기(100)의 라인(170)에 예시된 제어값 세트가 출력(140)으로 전환될 수 있다. 본 예에서, Tlow는 바람직하게는 낮은 레벨의 온도 제어기(96)에 의해 사용되는 동적 모델이 정확하거나 및/또는 최적화된 상위 온도값에 근접하도록 선택되지만, 대안적으로(또는 추가적으로) Tlow는 중간 레벨의 온도 제어기(98)에 의해 사용되는 동적 모델이 정확하거나 및/또는 최적화된 하한 온도값에 근접하도록 선택될 수 있다. Thigh는 중간 레벨의 온도 제어기(98)에 의해 사용되는 동적 모델이 정확하거나 및/또는 최적화된 상한 온도값에 근접하도록 선택될 수 있으나, 선택적으로(또는 추가적으로), Thigh는 높은 레벨의 온도 제어기(100)에 의해 사용되는 동적 모델이 정확하거나 및/또는 최적화된 하한 온도값에 근접하도록 선택될 수 있다.
본 발명자들은 특정한 제어기의 제어값이 출력 라인(140)에 사용되지 않는 경우라도 모든 제어기(96, 98, 및 100)가 각각의 동적 모델에 따라 제어값을 계산하도록 하는 것이 바람직함을 알아냈다. 그러나, 이전에 사용되지 않은 제어기에 대한 스위칭 기준이 제어 출력 선택 스위치 로직(175)에서 충족되어 비사용 제어기의 제어값 출력으로 전환하는 것은 제어 시스템(68)이 반응기(12)를 비최적화된 방식으로 소망하는 셋포인트 온도값으로 구동시키는 결과를 초래할 수 있다. 이것은 비사용 제어기에서 사용된 동적 모델이 제어값 전환전에 사용된 제어기의 동적 모델과 다르다는 사실에 기인한다. 다른 동적 모델은 출력 라인(140)에 사용가능한 제어값 솔루션에 상당한 차이를 가져온다.
제어값 세트들 사이의 전환시 반응기(12)가 최적화되지 않은 방식으로 구동되는 것을 줄이기 위해, 각 제어기(96, 98, 및 100)는 논리적으로 각각의 오브저버 게인 피드백 로직 유닛(185, 190, 및 195) 및 각각의 온도 제어 로직 유닛(200, 205, 및 210)으로 분할된다. 각 온도 제어 로직 유닛(200, 205, 및 210)은 셋포인트 입력 값(155), 라인(215)에 의해 표시되는 다수의 열전기쌍 데이터값, 및 라인(220, 225, 및 230)에 도시된 바와 같은 각각의 오브저버 게인 피드백 로직 유닛으로부터 제공되는 오브저버 게인 피드백 출력값에 기초하는 각각의 동적 모델을 구현한다. 각 오브저버 게인 피드백 로직 유닛(185, 190, 및 195)은 각 온도 제어 로직 유닛(200, 205, 및 210)으로의 오브저버 게인 피드백 출력값을 발생시키는데 사용되는 하나 이상의 입력값을 수신한다. 예시된 실시예에서, 각 오브저버 게인 피드백 로직 유닛(185, 190, 및 195)은 라인(235, 240, 및 245)에 도시된 바와 같이 각 온도 제어 로직 유닛(200, 205, 및 210)에 의해 계산되는 하나 이상의 제어 출력값 및 라인(250, 255, 및 260)에 도시된 바와 같이 제어 출력 선택 스위치 로직(175)으로부터 제공되는 피드백값을 수신한다. 라인(235, 240, 및 245)에 의해 도시된 제어기 출력값은 바람직하게는 제어기 출력의 제어값을 최종적으로 계산하기 위해 온도 제어 로직 유닛내의 오브저버 피드백 경로에서 사용되는 각 온도 제어 로직 유닛내에서 계산된 데이터 값들이다. 제어 출력 선택 스위치 로직(175)으로부터 제공되는 오브저버 피드백 값은 출력(140) 라인을 위해 선택된 특정의 제어기의 제어 출력을 포함한다.
동작시에, 특정 제어기를 위한 라인(220, 225, 및 230)의 오브저버 게인 피드백 값은 라인(235, 240 및 245)에서의 제어기 출력 값의 주어진 세트에 따라 다르며, 특정 제어기의 제어값 출력이 가열 소자 파이어링 인터페이스(145)로의 제공을 위해 출력 라인(140)에 공급되었는가의 여부에 따라 결정된다. 예를 들어, 라인(250)에서의 입력값이 라인(160)의 제어기(96)출력이 출력(140)에 제공된다는 것을 표시하는 경우, 라인(235)의 낮은 레벨의 온도 제어기 출력값의 주어진 세트가 라인(160)에서의 제어값 세트 X를 발생시킨다. 라인(250)의 입력값이 라인(160)의 제어기(96) 출력이 출력라인(140)에 제공되지 않는다는 것을 표시하는 경우, 라인(235)에서의 낮은 레벨의 온도 제어기 출력값의 동일한 세트가 라인(160)에서 다른 제어값 세트 Y가 된다. 이 때문에, 특정 제어기의 출력이 출력라인(140)에 공급될 때, 라인(235, 240, 245)의 제어기 출력값에서 라인(250, 255, 260)의 유사한 오브저버 피드백 값을 뺀 값이 각각의 오브저버 게인 피드백 로직 유닛(185, 190, 195)에 의해 제1 제어 매트릭스 계산과정을 통과하게 되며, 특정 제어기의 제어값 출력이 출력라인(140)에 공급되지 않는 때에는 바람직하게는 각각의 오브저버 게인 피드백 로직 유닛에 의해 제2 제어 매트릭스 계산과정을 통과하게 된다. 이들 값들은 각 오브저버 게인 피드백 로직 유닛(185, 190, 195)의 출력라인(220, 225, 230)에서 각각의 온도 제어 로직 유닛으로 공급된다. 제1 게인 제어 매트릭스 계산의 게인 매트릭스 상수들은 각 동적모델이 설계된 온도 범위내에서 특정 제어기가 최적화된 방식으로 동작하도록 선택되는 것이 바람직하다. 다른 게인 매트릭스 상수가 제2 게인 매트릭스 계산에 사용된다. 이 제2 게인 매트릭스는 특정 제어기의 제어값 출력이 제어 출력 선택 스위치(175)에 의해 선택된 제어기의 제어값 출력을 추적하도록 선택되는 것이 바람직하다. 각 제어기는 제어 출력 선택 스위치가 출력 라인(140)에서 그 제어기의 제어값을 제공할 때 출력측에서 최적의 제어값을 제공하며, 다른 제어기들 중 하나의 제어값이 출력라인(140)에 공급되는 경우에는 하나 이상의 다른 제어기의 제어값 출력을 추적하는 제어값을 제공한다. 주어진 온도 제어기(96, 98, 100)에 대한 제1 및 제2 게인 매트릭스 계산에 있어서의 상수들은 다른 온도 제어기에서 대응하는 상수들과 다를 수 있다(일반적으로도 실제와 다름).
상기한 바와 같이 도 4에 예시된 실시예는 온도 제어기(96, 98 및 100)에 의해 사용되는 특정 다변수 제어기에 무관하다. 그러나, 도 5는 2개의 동적모델을 사용하는 온도 제어기를 구현하는 하나의 방식을 예시한다. 그중 한 모델은 파워 입력을 스파이크 출력에 연관시키고 다른 모델은 스파이크 입력을 프로파일 출력에 연관시킨다. 동적 모델들은 특히 프로파일 및 스파이크 열전기쌍에 의해 제공되는 온도값을 사용하도록 설계된다. 제어기 로직 아키텍쳐는 도 4에 도시된 온도 제어 서브시스템에 사용하기에 적합하다. 도 5의 아키텍쳐는 낮은 레벨의 온도 제어기(96)로 표시되었지만 각 온도 제어기(96, 98 및 100)의 설계에 사용되기에 적합하다.
예시된 바와 같이, 제어기(96)는 라인(135)에 표시된 온도 셋포인트 값과 라인(300)에 수신된 프로파일 열전기쌍 값을 비교하여 라인(295)에 프로파일 에러값을 발생시키는 프로파일 에러 신호 발생기(290)를 포함한다. 마찬가지로, 스파이크 에러 신호 발생기(305)는 라인(310)에 스파이크 에러값을 발생시킨다. 라인(295)의 프로파일 에러 값은 프로파일 제어기(320)의 입력(315)측에 제공되고 라인(310)의 스파이크 에러값은 스파이크 제어기(330)의 입력(325)측에 제공된다. 이러한 설계는 WO98/35531에 개시되며 자세한 기술은 편의상 생략한다.
상기 특허출원의 기본 온도 제어기와는 달리, 제어기(96)는 도 4 및 상기 대응하는 개시의 시스템 아키텍쳐에 따라 오브저버 게인 피드백 로직을 구현한다. 이를 위하여, 안티-와인드업(anti-windup) 게인 계산 매트릭스(335), 게인-스케쥴 계산 매트릭스(340) 및 오브저버 모드 스위치(345)는 입력(315)에서 제공되는 파라미터 값들을 계산하는데 사용된다. 안티-와인드업 게인 계산 매트릭스(335)는 제1 게인 파라미터 세트를 사용하여 계산되는 출력값을 라인(335)에 제공한다. 게인-스케쥴 계산 매트릭스(340)는 제2 게인 파라미터 세트를 사용하여 계산되는 출력값을 라인(360)에 제공한다. 라인(355 및 360)의 출력값들은 오브저버 모드 스위치(345)의 입력에 제공된다. 오브저버 모드 스위치(345)는 라인(355) 또는 라인(360)의 값 중 어느 것을 프로파일 제어기(320)의 입력측(315)에 제공해야 하는 가를 선택한다. 오브저버 모드 스위치(345)는, 제어기(96)의 출력(160)측의 제어값이 가열 소자 파이어링 인터페이스(145)에 제공된다는 것을 라인(250)에 예시된 신호값이 표시할 때, 안티-와인드업 게인 계산 매트릭스(335)의 라인(355)의 출력값을 제공한다. 마찬가지로, 제어기(96)의 출력(160)에서의 제어값이 가열 소자 파이어링 인터페이스(145)에 제공되지 않는다는 것을 라인(250)의 신호값이 표시할 때, 오브저버 모드 스위치(345)는 오브저버 게인 계산 매트릭스(335)의 라인(360)의 출력값을 제공한다.
유사한 로직 아키텍쳐가 스파이크 제어기(330)와 연관된다. 안티-와인드업 게인 계산 매트릭스(370), 게인-스케쥴 계산 매트릭스(375) 및 오브저버 모드 스위치(380)는 입력(325)에 제공되는 파라미터 값들을 계산하는데 사용된다. 안티-와인드업 게인 계산 매트릭스(370)는 제1 게인 파라미터 세트를 사용하여 계산되는 출력값을 라인(385)에 제공한다. 게인-스케쥴 계산 매트릭스(375)는 제2 게인 파라미터 세트를 사용하여 계산되는 출력값을 라인(390)에 제공한다. 라인(385 및 390)의 출력값들은 오브저버 모드 스위치(380)의 입력에 제공된다. 오브저버 모드 스위치(380)는 라인(385) 또는 라인(390)의 값 중 어느 것을 스파이크 제어기(330)의 입력측(325)에 제공해야 하는 가를 선택한다. 오브저버 모드 스위치(380)는, 제어기(96)의 출력(160)측의 제어값이 가열 소자 파이어링 인터페이스(145)에 제공된다는 것을 라인(250)에 예시된 신호값이 표시할 때, 안티-와인더업 게인 계산 매트릭스(370)의 라인(385)의 출력값을 제공한다. 마찬가지로, 제어기(96)의 출력(160)에서의 제어값이 가열 소자 파이어링 인터페이스(145)에 제공되지 않는다는 것을 라인(250)의 신호값이 표시할 때, 오브저버 모드 스위치(380)는 오브저버 게인 계산 매트릭스(375)의 라인(390)의 출력값을 제공한다.
감결합(decoupled) 오브저버 피드백 시스템도 또한 도 5의 로직 아키텍쳐를사용하여 구현될 수 있다. 이러한 시스템에서, 원으로 표현된 입력값과 연관된 게인은 0으로 설정된다.
다양한 시스템 로직 아키텍쳐들이, 가열 소자 파이어링 인터페이스(145)에 선택적으로 제공되는 제어값을 계산하기 위해 프로파일 및 스파이크 열전기쌍 데이터값 모두를 사용하며 개시된 오브저버 게인 피드백 로직을 포함하는 온도 제어 시스템을 구현하는데 적합하다는 사실에 유의해야 한다. 예를 들어, 스파이크 및 프로파일 제어기가 동일한 온도 범위에 대해 설계된 제어기를 사용하는 것보다는, 온도 제어 시스템은 다른 온도 범위에 대해 설계된 다수의 프로파일 제어기 중 하나로부터의 출력값 세트가 하나의 공용 스파이크 제어기의 입력에 선택적으로 공급되도록 설계될 수 있다. 이러한 시스템에서, 개시된 오브저버 모드 피드백 로직은 각 프로파일 제어기에만 적용된다.
강화된 램프 궤적 로직을 가진 온도 제어 시스템
도 6은 강화된 램프 궤적 로직을 가진 온도 제어 시스템(68)을 구현하는 하나의 방식을 예시한다. 도시한 바와 같이, 제어기(1140)는 열전기쌍(36, 42)에 의해 측정된 온도값들에 대응하는 라인(1135)에 의해 표시되는 다수의 데이터값을 수신하도록 접속된다. 또한, 제어기(1140)는 램프 업/다운 온도값 발생기(1145)로부터 온도 출력값 Toutput을 수신한다. 이하에서 더 상세히 설명되듯이 온도값 발생기(1145)는 예컨데 공정 레시피의 일부로서 사용자에 의해 입력된 1150으로 표시된 온도 셋포인트 입력에 응답하여 제어기(1140)에 온도 출력값 Toutput을 제공한다. 램프-업/램프-다운 온도값 발생기의 논리 동작에 의해 열반응기(12)에서의 온도 오버샷을 예방하는데 도움이 되는 온도 출력값 Toutput이 발생된다.
제어기(1140)는 노와 가열되는 제품의 경험적으로 얻어진 모델을 가진 신뢰성있는 최적 제어 이론을 사용하여 만들어 진다. 특히, 예시된 실시예에서 제어기(1140)는 H-infinity 제어 이론을 사용하여 만들어진 동적 모델을 사용하는 다변수 제어기이다. 제어기(1140)에 사용되는 동적 모델을 얻기 위한 하나의 방법이 "MODEL BASED TEMPERATURE CONTROLLER FOR SEMICONDUCTOR THERMAL PROCESSORS"라는 명칭의 WO98/35531에 개시되며 이를 참조를 위해 삽입한다. 그러나, 다른 다변수 제어 로직 설계가 사용될 수도 있다. 도 6에 도시된 제어 로직 흐름은 제어기를 설계하는데 사용되는 특정 제어 이론과는 무관하다. 제어기(1140)가 하나 이상의 측정된 변수 입력에 기초한 동적 모델을 실행할 수 있어야 한다는 것이 유일한 요건이다.
제어기(1140)는 라인(1155)에서 제공되는 온도 출력값 및 라인(1135)의 열전기쌍 데이터값를 그 동적 모델에 적용한다. 제어기(1140)는 입력값들을 사용하여 라인(1160)에 의해 표시되는 출력에서 가열 소자 파이어링 인터페이스(1165)로 제공되는 다수의 제어값를 포함하는 출력을 발생시킨다. 파이어링 인터페이스(1165)는 제어값에 기초하여 제어된 파워를 라인(1170)에서 가열 소자 영역들에 제공하며, 이에 의해 각 영역에 제공되는 열량을 조절하고 반응기 온도를 제어한다.
특히, 제어기(1140)는 그 동적 모델에 대한 직접 입력을 위해 셋포인트 입력값를 수신하지 않는다. 그보다는, 온도 셋포인트 입력값는 온도값 발생기(1145)에 제공되며, 이는 제어기(1140)가 열 반응기(12)의 온도 제어를 위해 사용하는 증분 온도 출력값 Toutput을 제어기(1140)에 제공한다. 온도 출력값 Toutput는 시간에 따라 수정되는 램프 함수를 형성한다. 수정 램프 함수를 형성하는 온도 출력값는 램프-업 단계의 일부 동안에 최대 램프 속도로 또는 이에 근접한 속도로 온도 셋포인트 입력값(Tsp)를 향해 제어기(1140)를 구동시킨다. 그러나, 수정 램프 함수의 램프 속도는 온도 셋포인트값에 근접함에 따라, 온도 제어를 개선하고 웨이퍼 슬립을 피하기 위해, 감소될 수 있다.
온도값 발생기(1145)의 수정 램프 함수를 구현하기 위한 여러 신규 방식이 있다. 도 7은 이러한 방식의 하나를 예시한다.
도 7에서, 온도값 발생기(1145)의 목표는 제어기 및, 따라서 반응기 온도를 최초 온도 Tinitial에서 온도 셋포인트 입력값 Tsp로 변화시키는 일련의 온도 출력값 Toutput를 제공하는 것이다. 이렇게 함에 있어서, 온도값 발생기(1145)는 시간에 따라 수정되는 램프 함수를 형성하는 온도 출력값 Toutput를 제공한다. 이러한 수정 램프 함수의 특정한 형태가 라인(1178)에 의해 표현된다.
라인(1178)에 의해 도시되듯이, 온도값 발생기(1145)는 시간 t1에 새로운 온도 셋포인트 입력값를 수신하여 제어기에 최대 램프 속도 Rmax에 상당하는 증분 온도 출력값 Toutput를 제공한다. 최대 램프 속도 Rmax값은 사용자에 의해 입력된 값에기초할 수 있거나 또는 소정의 시스템 상수일 수 있다.
온도 출력값 Toutput이 온도 셋포인트 입력값 Tsp에 접근함에 따라, 온도값 발생기(1145)는 제어기(1140)에 최소 램프 속도 Rmin에 상당하는 온도 출력값 Toutput을 제공하기 시작한다. 이 최소 램프 속도는 온도값 발생기(1145)에 의해 제공되는 온도 출력값 Toutput이 온도 셋포인트 입력값 Tsp와 동일하게 될 때까지 사용된다. 최소 램프 속도치 Rmin는 사용자에 의해 입력되는 값에 기초하거나 소정의 시스템 상수일 수 있다. 그것은 공정 허용치를 벗어나 제품의 열처리를 손상시키지 않는 열반응기(12)에서의 최대 허용가능한 온도 오버샷을 발생시키는 최소 램프 속도로 설정되는 것이 바람직하다.
온도값 발생기(1145)가 최대 램프 속도 Rmax에서 최소 램프 속도 Rmin로 전환되는 점은 여러 가지 방법에 의해 설정될 수 있다. 예를 들어, 온도값 발생기(1145)는 온도값이 임계치와 같아지거나 넘을 때 최소 램프 속도로 전환될 수 있다. 이 임계치는 온도 셋포인트 입력값 Tsp의 백분율 X에 기초할 수도 있다. X값은 사용자에 의해 입력되는 레시피의 일부일 수 있으며 소정의 시스템 상수일 수도 있다. 마찬가지로, 온도값 발생기(1145)는 램프-업 단계의 소정의 시간에 최소 램프 속도로 전환할 수도 있다. 이 소정의 시간값은 전체 램프 시간(t2- t1)의 소정의 백분율(Z)에 기초할 수 있다.
도 8은 온도값 발생기(1145)에 의해 구현될 수 있는 다른 수정 램프 함수를 예시한다. 함수 라인(1182)에 의해 도시된 바와 같이, 온도값 발생기(1145)는 램프-업 단계의 개시부에서 최소 램프 속도 Rmin로 제어기(1140)를 구동하는 온도 출력값 Toutput을 발생시킨다. 온도 셋포인트 입력값 Tsp 또는 초기 온도값 Tinitial의 백분율 Y에서와 같은 소정의 점에서, 온도값 발생기(1145)는 제어기(1140)를 최대 램프 속도 Rmax로 구동시키는 온도 출력값 Toutput을 발생시킨다. 온도 셋포인트 입력값 Tsp 또는 초기 온도값 Tinitial의 백분율 X에서와 같은 다른 소정의 점에서, 온도값 발생기(1145)는, 온도 출력값가 온도 셋포인트 입력값 Tsp에 도달할 때까지, 제어기(1140)를 최소 램프 속도 Rmin로 구동시키는 온도 출력값 Toutput을 발생시킨다. 상기한 바와 같이, 램프 속도 전환은 총 램프-업 시간, 사용자가 프로그램한 레시피값, 소정의 시스템 상수 등에 기초할 수도 있다.
온도값 발생기(1145)에 의해 구현될 수 있는 다른 수정 램프-업 함수가 도 9에 도시된다. 본 예에서, 제어기(1140)에 의해 사용되는 램프 속도 Rcurrent는 램프-업 단계에 걸쳐 변하지만 최소 램프 속도 Rmin보다는 절대로 작지 않다. 주어진 온도 출력값 Toutput에서 램프 속도 Rcurrent는 다음과 같이 기술될 수 있다.
여기서, τ는 (사용자가 프로그램한 또는 시스템 상수인) 시간 상수이며,
여기서, Tsp는 온도 셋포인트 값이며,
△T = │Tsp-Tinitial
램프 궤적은 Tsp에 대한 다음의 연립 방정식을 풀어 얻어진다.
여기서, Tsp= 램프 궤적을 정의하는 시간의 함수인 셋포인트 값[모든 t에 대해 Tspold≤Tsp≤Tspnew]
Tspnew= 새로운 타겟 셋포인트
Tspold= 이전의 타겟 셋포인트
△T = Tspnew-Tspold
t = 램프의 개시로부터 측정된 시간 변수
τ= 램프 시간 상수
Tinput= 후속적으로 필터링되는 입력 램프 궤적
상기에서 계산된 램프 속도가 최소 램프 속도 Rmin보다 작은 값이라면, 온도값 발생기에서 사용되는 램프 속도가 최소 램프 속도보다 절대로 작은 값이 되지않도록 Rcurrent와 Rmin은 같아진다.
도 9에 도시한 바와 같이, 상기 방법으로 발생된 온도 출력값 Toutput는 램프-업 단계가 지속되는 동안 사용된 최소 및 최대 램프 속도 사이에서 더 부드러운 전이를 제공한다. 이러한 부드러운 전이는 제어기(1140)가 열반응기(12)의 온도를 보다 더 정확하게 제어하는 것을 가능하게 한다.
도 10은 주어진 최소 램프 속도치 Rmin의 값이 온도 출력값 Toutput에 미치는 영향을 예시한다. 예시된 것 중에서, 라인(1210)은 큰 최소 램프 속도 Rmin이 사용되었을 경우의 온도 출력값 Toutput의 그래프이다. 라인(1215)은 최소 램프 속도가 라인(1210)에 의해 도시된 온도 출력값를 얻기 위해 사용된 최소 램프 속도보다 작을 때의 온도 출력값 Toutput의 그래프이다. 마찬가지로, 라인(1218 및 1220)은 더 작은 최소 램프 속도가 사용되었을 때의 온도 출력값를 나타낸다. 도 10에서 알 수 있는 바와 같이, 최소 램프 속도가 작을수록 온도 셋포인트 값 Tsp에 도달하는 시간이 길어지지만, Tsp를 향해 더 부드러운 전이를 제공하며, 이에 의해 열반응기(12)에서의 온도 오버샷을 감소 및/또는 제거한다. 시간 상수 τ가 변할 때, 유사한 효과가 생긴다. 시간 상수가 커지면 최소 및 최소 램프 속도 사이에서 더 부드러운 전이가 나타나며, 온도 출력값가 온도 셋포인트 값 Tsp에 근접함에 따라 더 부드러운 전이를 제공한다.
온도 오버샷을 피하는 것 외에도 비선형 램프 궤적을 사용하는 다른 이점은 아래의 것을 포함한다.
1. 보다 나은 전반적인 제어와 가열 영역간 일치
2. 웨이퍼 가소성 변형 한계 미만의 램프 속도를 가진 단일 궤적의 특정. 그 대안은 함께 합쳐진 선형 램프 세그먼트들의 복잡한 집합이 될 수도 있다.
일반적으로, 다른 제어 목적들은 트레이드오프(tradeoff) 관계에 있다. 바람직한 실시예에서, 적극적인 외란 제거(disturbance rejection) 성질을 가진 광대역 제어기가 선택된다(SP 변화가 외란으로 간주될 수 있음). 그러므로, 선형 램프는 적극적인 제어기 응답을 일으키는 큰 외란을 뜻할 수 있다. 비선형 램프는 외란을 완화할 뿐만 아니라 제어기 응답도 완화한다.
전술한 환경에서 예시된 수정 램프 함수는 온도 램프-업 단계에서 적용되는 것으로 지시되었지만, 이러한 수정 램프 기술은 온도 램프-다운 단계에서도 사용될 수 있음을 알게 될 것이다. 램프-다운 단계에서 사용될 경우에, 수정 램프 동작의 목표들 중의 하나는 반응기의 온도가 새롭게 적용된 셋포인트 온도 아래로 떨어지는 것을 막는 것이다.
가상 온도 센서를 가진 온도 제어 시스템
도 11은 가상 온도 센서를 가진 온도 제어 시스템(68)을 구현하는 하나의 방법을 예시한다. 도시된 바와 같이, 제어기(2160)는 다수의 입력 데이터 값을 수신하도록 접속된다. 제어기(2160)는 노와 가열되는 제품의 경험적으로 얻어진 모델을 가진 신뢰성 있는 최적 제어 이론을 사용하여 만들어진다. 특히, 예시된 실시예에서, 제어기(2160)는 H-infinity제어 이론을 사용하여 만들어진 동적 모델을 사용하는 다변수 제어기인 것이 바람직하다. 제어기(2160)에 사용되는 동적 모델을 유도하기 위한 하나의 방법이 "MODEL BASED TEMPERATURE CONTROLLER FOR SEMICONDUCTOR THERMAL PROCESSORS"라는 명칭의 WO98/35531에 개시되며, 이를 참조를 위해 삽입한다. 그러나, 다른 다변수 제어 로직 설계가 사용될 수 도 있다. 도 11에 예시된 제어 로직 흐름은 제어기를 설계하는데 사용되는 특정 제어 이론과는 무관하다. 제어기(2160)가 하나 이상의 측정된 변수 입력에 기초한 동적 모델을 실행할 수 있어야 한다는 것이 유일한 요건이다.
제어기(2160)는 열전기쌍(36, 42)에 의해 측정된 온도값에 대응하는 라인(2165)에 의해 표현된 데이터 값을 수신한다. 이 값은 열전기쌍 인터페이스(2130)로부터 열전기쌍 스위치 로직(2170)을 통하여 접속된다. 또한, 제어기(2160)는, 예를 들면 공정 레시피의 일부로서 사용자에 의해 입력되는 온도 셋포인트 입력(2175)으로부터 라인(2135)에서 온도 셋포인트값을 수신한다.
제어기(2160)는 라인(2135)에서 제공되는 온도 셋포인트 값 및 라인(2165)의 열전기쌍 데이터값를 그 동적 모델에 적용한다. 제어기(2160)는 입력값들을 사용하여 라인(2140)에 의해 표시되는 출력에서 가열 소자 파이어링 인터페이스(2145)로 제공되는 다수의 제어값를 포함하는 출력을 발생시킨다. 파이어링 인터페이스(2145)는 제어값에 기초하여 제어된 파워를 라인(2150)에서 가열 소자 영역들에 제공하며 이에 의해 각 영역에 제공되는 열량을 조절하고 반응기 온도를 제어한다.
열전기쌍으로부터 값을 부정확하게 읽게 만드는 하드웨어 오동작은 제어기(2160)의 동적 모델이 라인(2140)에 논리적으로 도시된 바와 같은 제어 출력값에 대한 부적절한 솔루션에 도달하는 결과를 초래할 것이다. 종래에는 이러한 오동작 조건이 검출되면 온도 제어 시스템은 즉시 작동을 멈춘다(셧다운된다). 이러한 종래의 경우와 대비하여, 본 발명의 온도 제어 시스템은 프로그램된 레시피에 따라서 반응기를 지속적으로 동작시키거나 또는 제품에 손상이 가해질 수 있는 위험을 최소화할 수 있는 체계적인 시스템 셧다운을 할 수 있게 하기 위하여 오동작된 입력값 대신에 가상 온도 센서로 대체한다.
도 11의 제어 시스템의 실시예는 잘못된 입력값을 가상 온도 센서로 대체하기 위하여 적어도 세 개의 논리 소자를 채용한다. 이를 위하여, 프로파일 및 스파이크 열전기쌍 값이 열전기쌍 인터페이스(2130)의 출력(2180)에 제공된다. 이 값은, 차례로, 열전기쌍 오동작 검출 로직(2185), 가상 열전기쌍 로직(2190) 및 열전기쌍 스위치 로직(2170)의 입력에 제공된다.
열전기쌍 오동작 검출 로직(2185)은 하드웨어 오동작을 나타내는 하나 이상의 값의 변화를 검출하기 위해 열전기쌍 데이터 값을 모니터한다. 일반적으로, 하드웨어 오동작은 특정 열전기쌍으로부터 입력값를 제공하는데 관련된 하나 이상의 소자의 오동작에 상응하며 반드시 열전기쌍 자체의 오동작에 제한되는 것은 아니다. 여러 가지 상태의 변화가 이런 오동작을 표시할 수도 있다. 예를 들면, 열전기쌍 오동작 검출 로직(2185)은 각 열전기쌍의 입력값가 소정의 상한값을 초과하거나 또는 하한값 아래로 떨어지는 지를 결정하기 위해서 각 열전기쌍의 입력값를 모니터할 수 있다. 이런 한계는 열전기쌍이나 관련 소자가 개방 회로 조건인지 또는 단락 회로 조건인지를 나타낼 수 있다. 게다가, 열전기쌍이나 관련 소자들이 오동작 조건인지의 여부를 검출하기 위해서, 각 열전기쌍의 입력값에 의해 나타나는 온도 변화율은 변화율의 상한 또는 하한값과 비교되어질 수있다. 열전기쌍 입력값에서 하나 이상의 오동작이 검출되면, 검출 로직(2185)은 오동작 조건을 나타내는 오동작 출력 신호(2195)를 제공하고 그리고 오동작을 일으킨 하나 이상의 열전기쌍 입력을 식별한다.
가상 열전기쌍 로직(2190)도 열전기쌍 데이터 값을 수신하여 이 값을 다수의 동적 열전기쌍 모델, 즉 라인(2165)에서 제어기(2160)에 제공되어질 각 열전기쌍 데이터값 입력과 개별적으로 연관되어진 하나 이상의 모델에 대한 입력으로 사용한다. 각 동적 열전기쌍 모델은 특정 열전기쌍 입력이 하드웨어 오동작을 겪지 않았었다면 특정 열전기쌍 입력을 위한 제어기(2140)로의 열전기쌍 데이터 입력이 되었을 값을 정확하게 추적하는 가상 열전기쌍 출력을 제공하도록 설계된다. 개시된 실시예에서, 특정 열전기쌍 입력을 위한 동적 모델은 그 모델이 설계된 열전기쌍 입력값을 제어 시스템에 사용된 하나 이상의 다른 열전기쌍으로부터의 열전기쌍 입력값에 연관시킨다. 제어기(2160)의 동적 모델에 의해 스파이크 및 프로파일 열전기쌍이 모두 사용되는 바람직한 실시예에서, 단지 프로파일 열전기쌍만이 모델링된다. 라인(2200)에 의해 논리적으로 도시된 바와 같이, 가상 열전기쌍 출력값은 열전기쌍 스위치 로직(2170)의 출력에 제공된다.
라인(2135)에 의해 논리적으로 도시된 열전기쌍 입력값은 열전기쌍 오동작 검출 로직(2185)의 오동작 출력(2195)의 상태와 관련된다. 라인(2180)의 열전기쌍 입력값이 열전기쌍(36, 42)의 온도를 정확하게 반영하는 정상적인 작동 조건하에서, 열전기쌍 스위치 로직(2170)은 라인(2165)의 제어기(2160)에 대한 입력으로 이 값들을 효과적으로 관통 접속한다. 프로파일 열전기쌍 또는 대응하는 소자의 오동작이 발생하면, 이 오동작은 열전기쌍 오동작 검출 로직(2185)에 의해 검출되며 라인(2195)의 오동작 출력을 적어도 열전기쌍 스위치 로직(2170)에 제공한다. 라인(2195)의 오동작 출력에 대한 응답으로 열전기쌍 스위치 로직(2170)은 오동작된 열전기쌍 입력이 관통 접속되는 것을 막고, 제어기(2160)에서의 사용을 위하여 라인(2165)으로의 오동작 열전기쌍 입력에 대응하는 가상 열전기쌍 입력으로 대체한다. 바람직하게는, 라인(2195)의 오동작 출력은 제어기(2160)에도 제공되며, 이에 의해 제어기가 오동작 상황을 알게 하여 제어기(2160)가 적절한 조치를 취할 수 있도록 한다. 이러한 적절한 조치는 반응기 시스템의 체계적인 셧다운, 레시피의 계속적인 실행 또는 레시피의 수정된 실행을 포함할 수 있다. 제어기 로직이 어떤 조치를 따를 것인지는, 예를 들면, 열전기쌍 입력중 어떤 것이 오동작을 했는 지에 의존한다.
위에서 개시한 것과 같은 가상 온도 센서는 전술한 온도 제어 시스템에 사용될 수 있다. 게다가, 소정의, 일반적으로는 중첩되지 않는 온도 범위에 사용되기 위한 하나 이상의 동적 모델을 최적화하는 원리는 가상 온도 센서의 설계에도 적용될 수 있다. 이러한 하나의 시스템이 도 12에 도시되어 있다.
예시된 바와 같이, 가상 열전기쌍 로직(2190)은 라인(2180a)으로 논리적으로 도시된 스파이크 온도 입력값을 수신하여 가상 프로파일 열전기쌍 값을 발생시킨다. 스파이크 온도 입력값은 적어도 두 개의 예측 프로파일 값 모델(2210 및2215)의 입력에 제공된다. 예측 프로파일 값 모델(2210)은 예측 프로파일 값 모델(2215)이 최적화된 온도범위보다 높은 온도 범위에서 최적화된다. 각 모델(2210 및 2215)의 예측 프로파일 열전기쌍 값은 예측 프로파일 선택기(2220)의 입력에 제공된다. 예측 프로파일 선택기(2220)는 열반응기가 작동하고 있거나 작동할 온도 범위를 나타내는 하나 이상의 입력 신호에 응답하며, 라인(2200)의 출력을 위해 라인(2225) 또는 라인(2230)의 적절한 예측 프로파일 값을 선택한다. 바람직한 실시예에서, 예측 프로파일 선택기(2220)는 열반응기(12)의 중앙 부분에 배치된 스파이크 열전기쌍에 의해 감지된 온도를 나타내는 라인(2180b)의 스파이크 온도 입력값에 응답한다. 감지된 온도가 고온 예측 프로파일 값 모델(2210)의 최적화된 범위내에 해당하면, 라인(2225)의 예측 프로파일 값은 출력(2200)으로 선택된다. 마찬가지로, 감지된 온도가 저온 예측 프로파일 값 모델(2215)의 최적화된 범위내에 해당하면, 라인(2230)의 예측 프로파일 값은 출력(2200)으로 선택된다. 라인(2135)의 온도 셋포인트 입력값은(도 11 참고) 예측 프로파일 선택기(2220)에 제공될 수도 있으며, 주어진 조건에서 예측 프로파일 값 모델(2210 또는 2215) 중에서 어떤 것이 최적인지를 선택하는 기준으로 사용될 수 있다. 온도 셋포인트 입력값이 고온 예측 프로파일 값 모델(2210)이 최적화된 범위에 해당되면, 라인(2225)의 예측 프로파일 값이 출력(2200)으로 선택된다. 마찬가지로, 온도 셋포인트 입력값이 저온 예측 프로파일 값 모델(2215)이 최적화된 범위에 해당되면, 라인(2230)의 예측 프로파일 값이 출력(2200)으로 선택된다.
도 11 및 12에 개시된 것과 다른 넓은 범위의 로직 아키텍쳐가 개시된 가상온도 센서 대체 시스템을 충족시키기 위해 사용될 수 있음을 알게 될 것이다. 따라서 모든 이런 시스템은 본 발명의 범위내에 해당된다.
가열 소자 오동작 제어
온도 제어 시스템(68)은 열반응기(12)내의 온도를 사용자가 프로그램한 레시피에 따라 제어한다. 온도 제어는 측정 가능한 시스템 변수에 근거하여 원하는 온도 상태가 모델되는 열반응기(12)의 동적 모델링에 기초하는 것이 바람직하다. 작동시에, 레시피는 열반응기(12)를 원하는 온도 상태로 구동시키는 하나 이상의 동적 모델에 의해 사용되는 온도 셋포인트 값들을 포함한다.
도 13에서, 온도 제어 서브시스템(68)은 각각의 소정 가열 영역에 대한 적어도 두 개의 입력을 수신한다. 즉, 각각의 가열 영역에 대한 프로파일 열전기쌍에서의 온도를 결정할 수 있는 프로파일 열전기쌍 입력 및 각각의 가열 영역에 대한 스파이크 열전기쌍에서의 온도를 결정할 수 있는 스파이크 열전기쌍 입력이 그것이다. 이 프로파일 및 스파이크 온도는 라인(3215)에 도시된 바와 같이 열전기쌍 인터페이스(3130)를 통하여 제공되며, 라인(3140)에서의 하나 이상의 가열 소자 출력 제어값을 제공하기 위하여 라인(3135)의 온도 셋포인트 입력과 함께 사용된다. 라인(3140)의 출력 제어값은 가열 소자 제어 인터페이스(3145)의 입력에 제공되며, 라인(3150)에서 각 가열 영역 소자에 공급되는 전기 동력을 제어하는데 사용된다.
제어기(96, 98, 100 및 102)를 설계하는 공정은 "MODEL BASED TEMPERATURE CONTROLLER FOR SEMICONDUCTOR THERMAL PROCESSORS"라는 명칭의 WO98/35531에 상세히 기술되어 있는데, 여기에 참조에 의해 삽입된다. 이 출원에 개시된 열처리기의실시예에서는, 두 가지 형태의 모델이 만들어진다. 즉 오프-라인 및 온-라인 모델이 그것이다. "오프-라인 모델"은 제어 시스템 설계를 위해 만들어진 모델을 의미한다. "온-라인 모델"은 실제 반도체 웨이퍼(28)를 처리하는 경우와 같이 열반응기(12)가 작동하는 동안 활성화되는 모델을 의미한다.
상기 출원에 개시된 실시예에서는, 세 개의 오프-라인 모델이 사용된다. 즉 파워 셋 포인트 대 스파이크 열전기쌍 모델, 스파이크 열전기쌍 대 프로파일 열전기쌍 모델, 및 프로파일 및 스파이크 열전기쌍 대 열전기쌍이 구비된 웨이퍼 모델이 그것이다. 각 오프-라인 모델은 온도 서브시스템에서 제어기(96, 98, 100 및 102)를 설계하는데 사용된다.
열반응기(12)가 작동하는 동안 웨이퍼 온도를 측정하기 위하여, 하나의 온-라인 모델이 사용된다. 온-라인 모델은 스파이크 및 프로파일 열전기쌍(36 및 42)으로부터 실제 측정된 온도를 사용하여 웨이퍼(28)의 실제 온도를 예상한다. 전체 열반응기(12)의 모델링은 복잡하며 시간에 따라 빈번히 변이 및 보수 동작을 해야 하기 때문에, 웨이퍼 온도와 측정된 프로파일 및 스파이크 온도의 관계에 대한 단순한 모델링이 온도의 동적인 변화시 웨이퍼의 온도를 정확하게 제공하기 위하여 수행된다. 게다가, 정상-상태 조건하에서 프로파일 온도가 웨이퍼(28)의 실제 온도를 나타내는 것으로 가정된다.
모델링 후에, 세 개의 오프-라인 모델이 세 개의 별도의 고유한 제어기를 만들기 위하여 사용된다. 즉 스파이크 제어기(96), 프로파일 제어기(98) 및 웨이퍼 제어기(100)가 그것이다. 스파이크 제어기(96)는 스파이크 셋포인트와 스파이크열전기쌍 측정치의 차이를 입력으로 사용하며, 파워 셋포인트를 파이어링 인터페이스(108)에 출력한다. 프로파일 제어기(98)는 프로파일 셋포인트와 프로파일 측정치의 차이를 입력으로 사용하며, 스파이크 셋포인트를 스파이크 제어기(96)에 출력한다. 웨이퍼 제어기(100)는 웨이퍼 셋포인트와 온-라인 웨이퍼 온도모델의 예상값의 차이를 입력으로 사용하며, 프로파일 셋포인트를 프로파일 제어기(98)에 출력한다. 예시된 실시예에서, 각 제어기(96, 98 및 100)는 신뢰성 있는 최적 H-infinity 제어 이론을 사용하여 설계된다. 특히, 예시된 실시예에서, 각 제어기는 다변수 제어기이며, 원하는 램프 또는 셋포인트에 대한 개선된 온도 응답을 제공할 수 있도록 가열 영역 사이의 상호 작용이 고려된다. 그러나, 다른 제어 이론이 제어기를 구현하는데 사용되어질 수 있음을 쉽게 알 수 있을 것이다.
예시된 실시예에서, 제어 모드 로직 회로는, 소자 제어 모드를 규정하는 소자 제어 모드 로직 회로(도 13), 베이스 제어 모드를 규정하는 베이스 제어 모드 로직 회로(도 14), 동적 제어 모드를 규정하는 동적 제어 모드 로직 회로(도 15), 및 Dt 제어 모드를 규정하는 Dt 제어 모드 로직 회로(도 16)를 포함하는 다른 가능한 제어 모드 로직 회로들 중에서 선택될 수 있다. 이들 제어 모드 로직 회로 각각은 제어기들(96, 98 및 100)중 하나 또는 이들의 조합에 의하여 규정된다.
특히, 온도 서브시스템(68)은 소자 제어 모드(도 13)를 위해 스파이크 제어기(96)를 채용한다. 온도 서브시스템(68)은 베이스 제어 모드(도 14)를 위해 프로파일 제어기(98) 및 스파이크 제어기(96) 조합을 채용한다. 온도 서브시스템(68)은 동적 제어 모드(도 15)를 위해 웨이퍼 제어기(100), 프로파일 제어기(98) 및 스파이크 제어기(96) 조합을 채용한다. 마지막으로, 온도 서브시스템(68)은 프로파일 제어기를 가진 비선형 Dt 제어기(102), 및 Dt 제어 모드(도 16)를 제공하는 스파이크 제어기를 사용한다.
사용자는 다단계를 포함하며 각 단계에서 제어 모드들 중 임의의 것을 사용하는 레시피를 제공할 수 있다. 예를 들면, 사용자는 반도체 공정의 주어진 단계에서 하나의 제어 모드에서 다른 제어 모드로 전환될 수 있는 레시피를 제공할 수 있다. 제안된 하나의 방법은, 온도가 램프 업되기 전에 노 체크 및 보트(18)의 삽입 단계에서 베이스 제어 모드를 사용하고, 온도 램프 업 및 온도 안정화 단계에는 웨이퍼 제어 모드를 사용하고, 웨이퍼 처리 단계에는 Dt 제어 모드를 사용하고 그리고, 보트 배출 단계에는 베이스 제어 모드를 사용하는 것이다. 제안된 다른 방법은 모든 처리 단계에서 베이스 제어 모드를 사용하는 것이다.
소자 제어 모드는 일반적으로 보수 모드(예를 들면, 소자를 번인(burn in) 하는 것)이다. 소자 제어 모드에서, 스파이크 제어기는 스파이크 열전기쌍 온도를 기초로 제어한다. 이 모드는 정상적인 웨이퍼 공정에는 사용되지 않는다.
베이스 제어 모드(도 14)는 동작의 디폴트(default) 모드이며, 가열 소자 오동작과 관련된 모드이다. 베이스 제어 모드에서, 프로파일 제어기(98)는 프로파일 에러에 기초하여 스파이크 셋포인트 제어 신호를 제공한다. 프로파일 에러는 프로파일 온도 셋포인트와 프로파일 열전기쌍(42)에 의한 프로파일 온도 측정치와의 차이에 기초한다. 스파이크 제어기(98)는 스파이크 에러에 기초하여 열반응기로의 파워를 제어한다. 스파이크 에러는 스파이크 셋포인트와 스파이크 열전기쌍(36)에의한 스파이크 온도 측정치와의 차이에 기초한다. 베이스 제어 모드는 개선된 공정 균일성을 가능케 하는 정확한 제어 및 더 짧은 안정화 시간에 기인하는 사이클 시간 감소를 제공한다. 베이스 제어 모드 로직 회로는 프로파일 및 스파이크 제어기들을 함께 직렬로 함으로써 동시에 사용한다.
도 2에 예시된 바와 같이, 하나 이상의 가열 소자 오동작 신호가 가열 소자 오동작 로직(101)으로부터 제어기(68)에 제공된다. 라인(103)으로 예시된 가열 소자 오동작 신호는 소정 가열 영역들 중의 하나의 특정 가열 소자의 오동작을 나타낸다. 이러한 오동작은 수많은 방법으로 검출될 수 있다. 예를 들면, 시간에 따라 특정 가열 영역에 대해 제공되는 스파이크 열전기쌍 측정치의 미분값이 오동작을 검출하기 위하여 모니터링될 수 있다. 미분값의 절대값이 특정 스파이크 열전기쌍에 대한 소정 임계값을 초과하면, 이는 그 영역에 대한 대응하는 가열 소자의 오동작을 나타낼 수 있다. 그러면 대응하는 가열 소자의 오동작을 나타내는 가열 소자 오동작 신호가 제어기(68)에 발생될 것이다.
가열 소자 오동작을 검출하는 다른 방법은 스파이크 셋포인트 값과 스파이크 열전기쌍에 의해 측정된 온도와의 차이를 측정하는 것이다. 그 차이가 특정영역에서의 소정 임계값을 초과한다면, 그 영역에 대한 대응하는 가열 소자의 오동작을 나타내는 것으로 사용될 수 있다.
가열 소자 오동작을 검출하는 또 다른 방법은 특정 영역에 대한 가열 소자를 통해 흐르는 전류 또는 가열 소자에 걸리는 전압을 측정하는 것이다. 전류가 특정 가열 소자에 대한 소정의 임계값 아래로 떨어지면, 이것은 가열 소자의 오동작을나타내는 것일 수 있다. 마찬가지로, 특정 가열 소자에 대한 전압이 소정의 임계값을 초과한다면, 이것은 가열 소자의 오동작을 나타내는 것일 수 있다.
제어기(68)가 하나 이상의 영역에서 가열 소자 오동작을 검출하면, 제어기(68)는 도 13에 도시된 소자 제어 모드로 전환된다. 또한, 소자 제어 모드에서, 가열 소자 오동작이 발생한 가열 영역에 대해서는 스파이크 셋 포인트가 낮추어 진다. 바람직하게는, 오동작 영역에 대한 스파이크 셋 포인트는 스파이크 열전기쌍(36)의 측정치와 함께 감소된다.
이런 방법으로 가열 소자 오동작을 처리하는 것은 몇가지 이점이 있다. 예를 들면, 이런 오동작 처리는 가열 소자가 오동작으로부터 복구되어 파워가 다시 회복되었을 때 비정상적으로 높은 온도가 발생하는 것을 막아준다. 오동작 상태로부터의 복구시 가열소자가 즉시 셋 포인트 값으로 구동되는 경우, 온도 오버샷으로 인해 생길 수 있는 웨이퍼 슬립을 예방하는데도 도움이 된다. 또한, 이러한 오동작 처리는 온도 오버샷으로 인해 발생할 수 있는 온도 초과 상태의 재발생을 막아준다. 게다가, 이러한 오동작 처리는 가열 소자 오동작에도 불구하고 제품(28)을 구하는 것도 가능하게 한다.
프로파일 열전기쌍은 로드의 에지에서의 온도와 일치되지 않는다. 사용자들은 이를 보상하기 위해 그들 레시피를 바꾸지 않는 것을 선호한다. 이러한 문제점에 대한 하나의 해결책은 프로파일 열전기쌍을 짧게 하는 것인데, 이는 프로파일 열전기쌍에 의한 판독치가 로드의 에지에서의 온도와 보다 더 정확하게 일치되게 한다. 동적 또는 웨이퍼 제어 모드(도 15)는 프로파일 열전기쌍의 수정이나 사용자 레시피의 조정을 요구하지 않고도, 그 문제에 대한 해결책을 제공한다.
동적 제어 모드에서, 웨이퍼 제어기(100)는 웨이퍼(28)의 온도에 대한 예측 또는 평가, 및 원하는 또는 레시피의 웨이퍼 온도에 근접하는 웨이퍼(28) 온도를 얻기 위한 제어를 제공한다. 동적 제어 모드는 온도 램핑 및 안정화 단계 동안 가장 효과적으로 사용될 수 있다. 동적 제어 모드는, 상기한 바와 같이, 모델링 동안에 열전기쌍이 구비된 웨이퍼(44)를 사전에 사용하는 것을 포함한다. 모델링 후, 제어 시스템이 사용중인 동안에는, 동적 제어 모드는 온-라인 웨이퍼 온도 평가 모델(114)을 사용하여 예측 웨이퍼 온도에 기초하여 열반응기(12)를 제어한다. 온-라인 웨이퍼 온도 평가 모델(114)은 스파이크 및 프로파일 열전기쌍(36 및 42)으로부터 측정된 값에 기초하여 웨이퍼의 온도를 예측한다. 특히, 웨이퍼 온도는 스파이크 및 프로파일 열전기쌍(36 및 42)으로부터 측정된 값, 및 열전기쌍이 구비된 웨이퍼(44)에 의해 측정된 값(웨이퍼의 온도를 나타냄)과 모델링하는 동안에 프로파일 및 스파이크 열전기쌍(36 및 42)에 의해 측정된 값과의 관계에 기초하여 예측된다.
동적 제어 모드에서, 웨이퍼 제어기(100)는 웨이퍼 에러에 기초한 프로파일 셋포인트를 제공한다. 웨이퍼 에러는 프로파일 셋포인트와 프로파일 열전기쌍(42)에 의해 측정된 프로파일 온도값과의 차이에 기초한다. 프로파일 제어기는 프로파일 에러에 기초한 스파이크 셋포인트 제어 신호를 제공한다. 프로파일 에러는 웨이퍼 제어기(100)에 의해 생기는 프로파일 온도 셋포인트와 프로파일 열전기쌍에 의해 측정되는 프로파일 온도값과의 차이에 기초한다. 스파이크 제어기는 스파이크 에러에 기초하여 열반응기로의 파워를 제어한다. 스파이크 에러는 스파이크 셋포인트와 스파이크 열전기쌍에 의해 측정된 스파이크 온도값과의 차이에 기초한다. 동적 제어 모드는 직렬로 연결된 스파이크 제어기(96), 프로파일 제어기(98) 및 웨이퍼 제어기(100)를 사용한다.
Dt 또는 열적 버짓 모드(thermal budget mode)에서, Dt 제어기(102)는 온도 노출 또는 가해진 열 에너지를 측정하고, 셋포인트 또는 원하는 에너지의 관점에서 에너지를 제어한다. 열적 버짓은 프로파일 열전기쌍으로부터의 측정치를 사용하고 exp(-2/kT)의 적분을 취함으로써(여기서 k는 볼츠만 상수이고, T는 프로파일 열전기쌍(42)을 사용하여 측정된 온도) Dt값을 원하는 에너지로 유지하기 위하여 제어된다. 열반응기로 보내지는 에너지가 계산되어, 열반응기로 보내지는 에너지가 제어된다. 열적 버짓 모드는 로드내 및 런간 Dt값을 일정하게 유지하기 위해 중요한 처리 단계 동안 열적 버짓을 제어하는데 사용된다.
Dt 제어 모드(도 8)에서, Dt 제어기는 에너지 에러에 기초하여 프로파일 셋포인트를 제공한다. 에너지 에러는 에너지 셋포인트와 측정된 에너지와의 차이에 기초한다. 프로파일 제어기(98)는 프로파일 에러에 기초하여 스파이크 셋포인트를 제공한다. 프로파일 에러는 Dt 제어기에 의해 생기는 프로파일 셋포인트와 프로파일 열전기쌍(42)에 의한 프로파일 온도 측정치와의 차이에 기초한다. 스파이크 제어기(96)는 스파이크 에러에 기초하여 열 반응기에 대한 파워를 제어한다. 스파이크 에러는 스파이크 셋포인트와 스파이크 열전기쌍(36)에 의한 스파이크 온도 측정치와의 차이에 기초한다.
상기 시스템의 기본적인 원리로부터 벗어나지 않고 상기 시스템에 대한 많은 수정이 행해질 수 있다. 하나 이상의 특정 실시예에 관하여 본 발명이 상세하게 기술되어 졌지만, 그 분야의 기술을 알고 있는 사람들은 후술하는 특허 청구 범위에 개시된 발명의 범위나 사상에서 벗어남이 없이 본발명에 변화가 가해질 수 있음을 알 것이다.

Claims (27)

  1. 열반응기의 온도를 제어하는 온도 제어 시스템에 있어서,
    제1 온도 범위에서 제1 제어 출력값 세트를 계산하는데 최적화되어 있는 제1 온도 제어기;
    상기 제1 온도 범위와는 다른 범위인 제2 온도 범위에서 제2 제어 출력값 세트를 계산하는데 최적화되어 있는 제2 온도 제어기; 및
    상기 열반응기의 온도를 제어하기 위하여 상기 제1 또는 상기 제2 제어값 세트 중에서 어느 것을 사용할 것인지를 결정하는 제어 출력 선택 로직
    을 포함하는 것을 특징으로 하는 온도 제어 시스템.
  2. 열반응기의 온도를 제어하는 온도 제어 시스템에 있어서,
    제1 온도 범위에서 제어 출력값을 계산하는데 최적화되어 있는 제1 온도 제어기;
    상기 제1 온도 범위와는 다른 범위인 제2 온도 범위에서 제어 출력값을 계산하는데 최적화되어 있는 제2 온도 제어기; 및
    상기 제2 제어값 세트가 상기 열반응기의 온도를 제어하는데 사용되는 경우 상기 제1 온도 제어기의 제어값을 상기 제2 온도 제어기의 제어값을 향해 변화시키며, 상기 제1 제어값 세트가 상기 열반응기의 온도를 제어하는데 사용되는 경우에는 상기 제2 온도 제어기의 제어값을 상기 제1 온도 제어기의 제어값을 향해 변화시키는 오브저버 게인 피드백 (observer gain feedback) 시스템
    을 포함하는 것을 특징으로 하는 온도 제어 시스템.
  3. 열반응기의 온도를 제어하는 온도 제어 시스템에 있어서,
    제1 제어값 세트를 발생시키기 위해 다수의 측정된 입력 파라미터에 제1 동적 모델을 적용하는 제1 온도 제어기로서, 상기 제1 동적 모델이 제1 온도 범위에서 최적화되어 일반적으로 상기 제1 온도 범위에서 상기 제1 제어값 세트에 대한 최적치를 제공하는 제1 온도 제어기;
    제2 제어값 세트를 발생시키기 위해 다수의 측정된 입력 파라미터에 제2 동적 모델을 적용하는 제2 온도 제어기로서, 상기 제2 동적 모델이 상기 제1 온도 범위와는 다른 범위인 제2 온도 범위에서 최적화되어 일반적으로 상기 제2 온도 범위에서 상기 제2 제어값 세트에 대한 최적치를 제공하는 제2 온도 제어기; 및
    상기 제1 또는 상기 제2 제어값 세트 중에서 상기 열반응기의 온도를 제어하는데 어느 것을 사용할 것인지를 결정하는 제어 출력 선택 스위치 로직
    을 포함하는 것을 특징으로 하는 온도 제어 시스템.
  4. 열반응기의 온도를 제어하는 온도 제어 시스템에 있어서,
    제1 제어값 세트를 발생시키기 위해 다수의 측정된 입력 파라미터에 제1 동적 모델을 적용하는 제1 온도 제어기로서, 상기 제1 동적 모델이 제1 온도 범위에서 최적화되어 일반적으로 상기 제1 온도 범위에서 상기 제1 제어값 세트에 대한최적치를 제공하는 제1 온도 제어기;
    제2 제어값 세트를 발생시키기 위해 다수의 측정된 입력 파라미터에 제2 동적 모델을 적용하는 제2 온도 제어기로서, 상기 제2 동적 모델이 상기 제1 온도 범위와는 다른 범위인 제2 온도 범위에서 최적화되어 일반적으로 상기 제2 온도 범위에서 상기 제2 제어값 세트에 대한 최적치를 제공하는 제2 온도 제어기; 및
    상기 제2 제어값 세트가 상기 열반응기의 온도를 제어하는데 사용되는 경우에는 상기 제1 제어값 세트를 상기 제2 제어값 세트를 향해 변화시키며, 상기 제1 제어값 세트가 상기 열반응기의 온도를 제어하는데 사용되는 경우에는 상기 제2 제어값 세트를 상기 제1 제어값 세트를 향해 변화시키는 오브저버 게인 피드백 시스템
    을 포함하는 것을 특징으로 하는 온도 제어 시스템.
  5. 열반응기의 온도를 제어하기 위한 온도 제어 시스템에 있어서,
    상기 열반응기의 하나 이상의 가열 소자를 제어하기 위하여 하나 이상의 온도 입력값에 응답하는 제어기;
    열적 레시피(thermal recipe)에 따른 램핑(ramping) 온도 변이를 위한 셋포인트(set-point)를 제공하는 온도 셋포인트 입력 로직; 및
    상기 하나 이상의 온도 입력값을 상기 제어기에 제공하기 위하여 상기 셋포인트 입력 로직에 응답하는 온도값 발생기
    를 포함하는 것을 특징으로 하는 온도 제어 시스템.
  6. 제5항에 있어서, 상기 온도값 발생기는 적어도 최대 램프-업(ramp-up) 값에 기초하여 상기 제어기로의 상기 하나 이상의 온도 입력값를 결정하는 것을 특징으로 하는 온도 제어 시스템.
  7. 제5항에 있어서, 상기 온도값 발생기는 적어도 최소 램프-업 값에 기초하여 상기 제어기로의 상기 하나 이상의 온도 입력값을 결정하는 것을 특징으로 하는 온도 제어 시스템.
  8. 제5항에 있어서, 상기 온도값 발생기는 적어도 최대 램프-다운(ramp-down) 값에 기초하여 상기 제어기로의 상기 하나 이상의 온도 입력값을 결정하는 것을 특징으로 하는 온도 제어 시스템.
  9. 제5항에 있어서, 상기 온도값 발생기는 적어도 최소 램프-다운 값에 기초하여 상기 제어기로의 상기 하나 이상의 온도 입력값을 결정하는 것을 특징으로 하는 온도 제어 시스템.
  10. 열반응기의 온도를 제어하기 위한 온도 제어 시스템에 있어서,
    상기 열반응기의 하나 이상의 가열 소자를 제어하기 위하여 하나 이상의 온도 입력값에 응답하는 제어기;
    열적 레시피에 따른 램핑 온도 변이를 위한 셋포인트를 제공하는 온도 셋포인트 입력 로직; 및
    상기 제어기에 상기 하나 이상의 온도 입력값을 제공하기 위해 상기 셋포인트 입력 로직에 응답하는 온도값 발생기로서, 상기 하나 이상의 온도 입력값이 열적 램프 사이클의 중간부에서는 최대 램프 한계치에 의해 정의되며, 상기 열적 램프 사이클의 개시부 또는 종료부중 하나 또는 양자에서는 최소 램프 한계치에 의해 정의되는 온도값 발생기
    를 포함하는 것을 특징으로 하는 온도 제어 시스템.
  11. 제10항에 있어서, 상기 최대 램프 한계치는 열적 레시피의 입력을 통하여 프로그램 가능한 것을 특징으로 하는 온도 제어 시스템.
  12. 제10항에 있어서, 상기 최소 램프 한계치는 열적 레시피의 입력을 통하여 프로그램 가능한 것을 특징으로 하는 온도 제어 시스템.
  13. 열반응기의 온도를 제어하는 온도 제어 시스템에 있어서,
    상기 열반응기와 연관된 온도를 측정하기 위하여 배치된 다수의 온도 센서;
    상기 다수의 온도 센서 중 적어도 하나의 오동작(failure)을 검출하기 위하여 배치된 온도 센서 오동작 로직;
    상기 다수의 온도 센서들 중 적어도 하나를 상기 다수의 온도 센서들 중에서선택된 다른 센서로부터 수신된 입력에 기초하여 추적하는 하나 이상의 출력을 가진 가상 온도 센서 모델 회로;
    상기 다수의 온도 센서에 응답하는 제어기; 및
    상기 제어기로의 입력을 상기 오동작 온도 센서를 위한 상기 가상 온도 센서 모델 회로의 적어도 하나의 출력으로 대체하기 위해, 상기 온도 센서 오동작 로직에 의한 다수의 온도 센서중 적어도 하나의 오동작 검출에 응답하는 대체 로직 회로
    를 포함하는 것을 특징으로 하는 온도 제어 시스템.
  14. 제13항에 있어서, 상기 다수의 온도 센서가 열전기쌍(thermocouple)을 포함하는 것을 특징으로 하는 온도 제어 시스템.
  15. 제13항에 있어서, 상기 다수의 온도 센서가 프로파일(profile) 센서 및 스파이크(spike) 센서를 포함하는 것을 특징으로 하는 온도 제어 시스템.
  16. 제15항에 있어서, 상기 가상 온도 센서 모델 회로가 프로파일 센서를 모델로 하는 것을 특징으로 하는 온도 제어 시스템.
  17. 제15항에 있어서, 상기 가상 온도 센서 모델 회로가 상기 스파이크 센서로부터의 입력에 기초한 프로파일 센서를 모델로 하는 것을 특징으로 하는 온도 제어시스템.
  18. 열 반응기의 온도를 제어하는 온도 제어 시스템에 있어서,
    베이스(base) 제어 모드 및 소자(element) 제어 모드를 가진 온도 제어기; 및
    상기 가열 소자에 가해진 전력과 관련하여, 상기 가열소자와 연관된 하나 이상의 온도를 측정함으로써, 상기 열반응기 내의 하나 이상의 가열 소자의 오동작을 검출하도록 배치된 가열 소자 오동작 검출기를 포함하며,
    상기 제어기가 상기 가열 소자 오동작 검출기에 응답하여 상기 가열 소자의 오동작 검출시 베이스 제어 모드를 소자 제어 모드로 전환시키는 것을 특징으로 하는 온도 제어 시스템.
  19. 제18항에 있어서, 상기 가열 소자 오동작 검출기는 상기 가열 소자에 공급된 전력을 표시하는 하나 이상의 신호를 모니터링하여 상기 가열 소자의 오동작을 검출하는 것을 특징으로 하는 온도 제어 시스템.
  20. 제18항에 있어서, 상기 가열 소자 오동작 검출기는 상기 가열 소자를 포함하는 상기 열 반응기 내의 특정 가열 영역에 제공된 스파이크 온도 센서 측정값의 미분을 계산하여 상기 계산된 미분의 절대값을 소정의 임계치와 비교함으로써 상기 가열 소자의 오동작을 검출하는 것을 특징으로 하는 온도 제어 시스템.
  21. 제18항에 있어서, 상기 가열 소자 오동작 검출기는 스파이크 셋포인트 값과 스파이크 온도 센서에 의해 측정된 온도 사이의 차이를 계산하여 상기 차이를 소정의 임계치와 비교함으로써 상기 가열 소자의 오동작을 검출하는 것을 특징으로 하는 온도 제어 시스템.
  22. 하나 이상의 가열 소자를 가진 열 반응기의 온도를 제어하는 온도 제어 시스템에 있어서,
    스파이크 셋포인트와 스파이크 온도 측정치 사이의 차이에 기초하여 상기 열반응기로의 전력을 제어하는 스파이크 제어기;
    프로파일 온도 셋포인트와 프로파일 온도 측정치 사이의 차이에 기초하여 상기 스파이크 제어기로 스파이크 셋포인트 제어 신호를 제공하는 프로파일 제어기; 및
    상기 열 반응기의 하나 이상의 상기 가열 소자들의 오동작을 검출하도록 배치된 가열 소자 오동작 검출기를 포함하며,
    하나 이상의 상기 가열 소자의 오동작이 검출된 경우, 상기 열 반응기의 온도가 상기 스파이크 제어기만을 사용해 제어되는 것을 특징으로 하는 온도 제어 시스템.
  23. 제22항에 있어서, 하나 이상의 상기 가열 소자들의 오동작이 검출될 때, 상기 가열 소자 오동작이 발생한 가열 영역에 대한 상기 스파이크 셋포인트가 낮춰지는 것을 특징으로 하는 온도 제어 시스템.
  24. 제18항에 있어서, 상기 가열 소자 오동작 검출기는 스파이크 열전기쌍, 및 상기 열전기쌍에 의해 검출된 온도의 변화율과 상기 가열 소자에 공급된 전력을 모니터링하는 수단을 포함하는 것을 특징으로 하는 온도 제어 시스템.
  25. 제24항에 있어서, 상기 열전기쌍은 상기 가열 소자에 부착되는 것을 특징으로 하는 온도 제어 시스템.
  26. 하나 이상의 가열 소자를 가진 열 반응기의 온도를 제어하는 온도 제어 시스템에 있어서,
    스파이크 셋포인트와 스파이크 온도 측정치 사이의 차이에 기초하여 열반응기로의 전력을 제어하는 스파이크 제어기;
    프로파일 온도 셋포인트와 프로파일 온도 측정치 사이의 차이에 기초하여 상기 스파이크 제어기로 스파이크 셋포인트 제어 신호를 제공하는 프로파일 제어기; 및
    상기 열 반응기의 하나 이상의 상기 가열 소자들의 오동작을 검출하도록 배치된 가열 소자 오동작 검출기를 포함하며,
    하나 이상의 상기 가열 소자의 오동작이 검출된 경우, 상기 열 반응기의 온도가 상기 스파이크 제어기만을 사용해 제어되며, 상기 가열 소자의 오동작이 치유될 때, 상기 프로파일 온도 셋포인트가 과열을 방지하기 위해 감소되는 것을 특징으로 하는 온도 제어 시스템.
  27. 제26항에 있어서, 상기 스파이크 또는 상기 프로파일 제어기는 상기 가열 소자 오동작이 치유된 시기를 검출한 다음, 상기 온도 셋포인트를 복원시키는 것을 특징으로 하는 온도 제어 시스템.
KR1020007012606A 1998-05-11 1999-05-11 열반응기용 온도 제어 시스템 KR20010071235A (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US8490998P 1998-05-11 1998-05-11
US8490798P 1998-05-11 1998-05-11
US60/084,907 1998-05-11
US60/084,909 1998-05-11
US8525798P 1998-05-13 1998-05-13
US60/085,257 1998-05-13
US8693298P 1998-05-27 1998-05-27
US60/086,932 1998-05-27
PCT/US1999/010329 WO1999059196A1 (en) 1998-05-11 1999-05-11 Temperature control system for a thermal reactor

Publications (1)

Publication Number Publication Date
KR20010071235A true KR20010071235A (ko) 2001-07-28

Family

ID=27491914

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007012606A KR20010071235A (ko) 1998-05-11 1999-05-11 열반응기용 온도 제어 시스템

Country Status (6)

Country Link
US (4) US6207937B1 (ko)
EP (1) EP1093664A4 (ko)
JP (1) JP2002515648A (ko)
KR (1) KR20010071235A (ko)
TW (1) TW446995B (ko)
WO (1) WO1999059196A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200124161A (ko) * 2019-04-23 2020-11-02 도쿄엘렉트론가부시키가이샤 제어 방법, 계측 방법, 제어 장치 및 열 처리 장치
US20210003461A1 (en) * 2018-03-22 2021-01-07 University Of Helsinki Sensor calibration

Families Citing this family (379)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10640425B2 (en) * 1996-01-19 2020-05-05 Romeo Ilarian Ciuperca Method for predetermined temperature profile controlled concrete curing container and apparatus for same
KR20010071235A (ko) 1998-05-11 2001-07-28 세미툴 인코포레이티드 열반응기용 온도 제어 시스템
JP4551515B2 (ja) * 1998-10-07 2010-09-29 株式会社日立国際電気 半導体製造装置およびその温度制御方法
JP4426024B2 (ja) * 1999-09-02 2010-03-03 東京エレクトロン株式会社 熱処理装置の温度校正方法
US7148153B2 (en) * 2000-06-20 2006-12-12 Agere Systems Inc. Process for oxide fabrication using oxidation steps below and above a threshold temperature
GB0019176D0 (en) * 2000-08-05 2000-09-27 Cambridge Material Science Lim Monitoring thermal events
US7024253B2 (en) * 2000-08-21 2006-04-04 Honeywell International Inc. Auto-tuning controller using loop-shaping
US6492625B1 (en) * 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
TW522292B (en) 2001-02-06 2003-03-01 Asml Us Inc Inertial temperature control system and method
US6462313B1 (en) * 2001-02-20 2002-10-08 Micron Technology, Inc. Method and apparatus to control temperature in an RTP system
US6864466B2 (en) 2001-03-08 2005-03-08 Aviza Technology, Inc. System and method to control radial delta temperature
JP2004519855A (ja) * 2001-03-08 2004-07-02 エイエスエムエル ユーエス インコーポレイテッド 放射デルタ温度を制御するためのシステム及び方法
CA2441212A1 (en) * 2001-03-12 2002-10-10 Novozymes Biotech, Inc. Methods for isolating genes from microorganisms
DE60108078T2 (de) * 2001-04-12 2005-12-01 Infineon Technologies Sc300 Gmbh & Co. Ohg Heizungsanlage und Verfahren zur Heizung für einen Reaktor
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
JP2003074468A (ja) * 2001-08-31 2003-03-12 Toshiba Corp 真空排気システム及びその監視・制御方法
TW200416772A (en) * 2002-06-06 2004-09-01 Asml Us Inc System and method for hydrogen-rich selective oxidation
KR20040003885A (ko) * 2002-07-04 2004-01-13 삼성전자주식회사 확산로 온도 검출장치
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7006900B2 (en) * 2002-11-14 2006-02-28 Asm International N.V. Hybrid cascade model-based predictive control system
DE10261016A1 (de) * 2002-12-24 2004-07-08 Robert Bosch Gmbh Steckkontrolle zur Detektion einer korrekt ausgeführten elektrischen Steckverbindung
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7619184B2 (en) * 2003-03-04 2009-11-17 Micron Technology, Inc. Multi-parameter process and control method
US7311810B2 (en) * 2003-04-18 2007-12-25 Applied Materials, Inc. Two position anneal chamber
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US6983223B2 (en) * 2003-04-29 2006-01-03 Watlow Electric Manufacturing Company Detecting thermocouple failure using loop resistance
US7087443B2 (en) 2003-07-29 2006-08-08 Brooks Automation, Inc. Optimized temperature controller for cold mass introduction
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7274007B2 (en) * 2003-09-25 2007-09-25 W.E.T. Automotive Systems Ltd. Control system for operating automotive vehicle components
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP4570909B2 (ja) * 2004-06-04 2010-10-27 富士重工業株式会社 電気加熱触媒の故障診断装置
US20060042278A1 (en) * 2004-08-31 2006-03-02 Thermo King Corporation Mobile refrigeration system and method of detecting sensor failures therein
TWI240953B (en) * 2004-10-29 2005-10-01 Mosel Vitelic Inc Monitoring method for furnace apparatus
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7459175B2 (en) * 2005-01-26 2008-12-02 Tokyo Electron Limited Method for monolayer deposition
US7838072B2 (en) * 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
JP4878801B2 (ja) * 2005-09-26 2012-02-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法
US7441949B2 (en) * 2005-12-16 2008-10-28 Micron Technology, Inc. System and method for providing temperature data from a memory device having a temperature sensor
US7302363B2 (en) * 2006-03-31 2007-11-27 Tokyo Electron Limited Monitoring a system during low-pressure processes
US7526699B2 (en) * 2006-03-31 2009-04-28 Tokyo Electron Limited Method for creating a built-in self test (BIST) table for monitoring a monolayer deposition (MLD) system
US7519885B2 (en) * 2006-03-31 2009-04-14 Tokyo Electron Limited Monitoring a monolayer deposition (MLD) system using a built-in self test (BIST) table
US7340377B2 (en) * 2006-03-31 2008-03-04 Tokyo Electron Limited Monitoring a single-wafer processing system
US7473032B2 (en) * 2006-06-30 2009-01-06 Honeywell International Inc. System and method for enabling temperature measurement using a pyrometer and pyrometer target for use with same
RU2449049C2 (ru) * 2006-09-11 2012-04-27 Улвак, Инк. Устройство для вакуумной обработки паром
DE102008014153B4 (de) * 2007-03-14 2014-10-16 Phoenix Contact Gmbh & Co. Kg Verfahren, Steuergerät und Steuerungssystem zur Steuerung eines Automatisierungssystems
SE0700910L (sv) * 2007-04-13 2008-10-14 Aga Ab Förfarande för att mäta temperaturen i en ugn
US7746087B2 (en) * 2007-07-13 2010-06-29 Pericom Technology Inc. Heating-control isolation-diode temperature-compensation
US20090039070A1 (en) * 2007-08-06 2009-02-12 Jung-Wen Tseng Semiconductor equipment and breakdown precautionary system and method thereof
US7951728B2 (en) * 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US8374709B2 (en) * 2008-03-03 2013-02-12 Alstom Technology Ltd Control and optimization system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5734081B2 (ja) * 2010-10-18 2015-06-10 株式会社日立国際電気 基板処理装置、基板処理装置の温度制御方法、及び基板処理装置の加熱方法
US20120168143A1 (en) * 2010-12-30 2012-07-05 Poole Ventura, Inc. Thermal Diffusion Chamber With Heat Exchanger
US8950470B2 (en) * 2010-12-30 2015-02-10 Poole Ventura, Inc. Thermal diffusion chamber control device and method
JP5673242B2 (ja) * 2011-03-11 2015-02-18 株式会社Ihi 制御装置
JP2013008950A (ja) * 2011-05-23 2013-01-10 Panasonic Corp 光源装置および画像表示装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8793004B2 (en) * 2011-06-15 2014-07-29 Caterpillar Inc. Virtual sensor system and method for generating output parameters
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10033192B2 (en) * 2011-11-03 2018-07-24 Raytheon Company Genset with integrated resistive loadbank system to provide short pulse duration power
JP5973173B2 (ja) * 2012-01-23 2016-08-23 東京エレクトロン株式会社 熱処理装置及び熱処理装置の制御方法
US20130301673A1 (en) * 2012-05-04 2013-11-14 Jason Mobley Method and Apparatus for Measuring, Storing and Retrieving Food Temperature Data
US9387032B2 (en) * 2012-06-18 2016-07-12 Medtronic Ablation Frontiers Llc Systems and methods for detecting channel faults in energy delivery systems
US9740214B2 (en) 2012-07-23 2017-08-22 General Electric Technology Gmbh Nonlinear model predictive control for chemical looping process
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8701073B1 (en) * 2012-09-28 2014-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for across-chip thermal and power management in stacked IC designs
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8772055B1 (en) 2013-01-16 2014-07-08 Applied Materials, Inc. Multizone control of lamps in a conical lamphead using pyrometers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9343903B2 (en) * 2013-03-14 2016-05-17 Mark Hauenstein Methods and systems architecture to virtualize energy functions and processes into a cloud based model
US9454158B2 (en) 2013-03-15 2016-09-27 Bhushan Somani Real time diagnostics for flow controller systems and methods
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI654666B (zh) 2014-01-27 2019-03-21 Veeco Instruments, Inc. 用於化學氣相沉積系統之具有複合半徑容置腔的晶圓載具
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10006717B2 (en) * 2014-03-07 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking system and method of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11513042B2 (en) * 2015-01-26 2022-11-29 SPEX SamplePrep, LLC Power-compensated fusion furnace
US10240870B2 (en) 2015-01-26 2019-03-26 Spex Sample Prep, Llc Method for operating a power-compensated fusion furnace
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10082848B2 (en) * 2015-04-06 2018-09-25 Dell Products L.P. Systems and methods for thermal adaptation for virtual thermal inputs in a chassis infrastructure
JP6691355B2 (ja) * 2015-06-11 2020-04-28 株式会社ミクニ 流量制御装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
DE102016111065A1 (de) * 2016-06-16 2017-12-21 Iav Gmbh Ingenieurgesellschaft Auto Und Verkehr Regelverfahren mit modellbasiertem Anti-Windup
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10983537B2 (en) 2017-02-27 2021-04-20 Flow Devices And Systems Inc. Systems and methods for flow sensor back pressure adjustment for mass flow controller
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
EP4197286A1 (en) * 2020-08-12 2023-06-21 Watlow Electric Manufacturing Company Method and system for providing variable ramp-up control for an electric heater
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2024044501A (ja) * 2022-09-21 2024-04-02 株式会社東芝 磁気ディスク装置

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU7972982A (en) 1981-01-29 1982-08-05 Varian Techtron Pty. Ltd. Voltage feedback temperature control
US4496940A (en) 1982-01-15 1985-01-29 Pall Corporation Sensing and indicator system for detecting heating element failures
JPS592318A (ja) * 1982-06-28 1984-01-07 Toshiba Mach Co Ltd 半導体気相成長装置
JPS61145606A (ja) * 1984-12-19 1986-07-03 Ohkura Electric Co Ltd 干渉対応形パタ−ン切換式温度制御装置
JPS61196515A (ja) * 1985-02-26 1986-08-30 Mitsubishi Electric Corp 帯域溶融型半導体製造装置
US4716520A (en) * 1986-01-22 1987-12-29 Nordson Corporation Method of checking channel connections and detecting heater circuit and temperature sensor malfunctions in multi-channel closed loop hot melt heating systems
US5001327A (en) 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
KR970008334B1 (en) 1988-02-24 1997-05-23 Tokyo Electron Sagami Kk Method and apparatus for heat treatment method
JPH01246838A (ja) * 1988-03-28 1989-10-02 Nec Corp 半導体基板の熱処理方法
JPH0268611A (ja) * 1988-09-05 1990-03-08 Omron Tateisi Electron Co 温度調節器
US5228114A (en) * 1990-10-30 1993-07-13 Tokyo Electron Sagami Limited Heat-treating apparatus with batch scheme having improved heat controlling capability
US5280422A (en) * 1990-11-05 1994-01-18 Watlow/Winona, Inc. Method and apparatus for calibrating and controlling multiple heaters
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5359693A (en) * 1991-07-15 1994-10-25 Ast Elektronik Gmbh Method and apparatus for a rapid thermal processing of delicate components
US5270520A (en) 1991-09-23 1993-12-14 Helen Of Troy Corporation Hair styling appliances and heater control circuits therefor
JPH05190472A (ja) * 1992-01-17 1993-07-30 Kokusai Electric Co Ltd Cvd装置
JPH0666484A (ja) * 1992-08-14 1994-03-08 Ulvac Japan Ltd 熱処理装置
US5340964A (en) 1992-09-29 1994-08-23 Cincinnati Milacron Inc. Method and apparatus for monitoring electrical loads
US5442157A (en) * 1992-11-06 1995-08-15 Water Heater Innovations, Inc. Electronic temperature controller for water heaters
US5378874A (en) 1993-04-05 1995-01-03 Whirlpool Corporation Diagnostic method and apparatus for a domestic appliance
US5616264A (en) 1993-06-15 1997-04-01 Tokyo Electron Limited Method and apparatus for controlling temperature in rapid heat treatment system
US5517549A (en) 1993-12-03 1996-05-14 Telefonaktiebolaget L M Ericcson Call logging in cellular subscriber stations
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
JP3553146B2 (ja) 1994-08-22 2004-08-11 本田技研工業株式会社 電気加熱式触媒制御装置
US5517594A (en) * 1994-10-17 1996-05-14 Relman, Inc. Thermal reactor optimization
GB9500109D0 (en) 1995-01-05 1995-03-01 Scapa Group Plc Apparatus for cleaning papermachine clothing
US5663899A (en) * 1995-06-05 1997-09-02 Advanced Micro Devices Redundant thermocouple
JP3602614B2 (ja) 1995-07-04 2004-12-15 本田技研工業株式会社 内燃機関の排気ガス浄化装置
JP3344220B2 (ja) 1996-06-25 2002-11-11 トヨタ自動車株式会社 空燃比センサのヒータ制御装置
US5790437A (en) * 1996-11-26 1998-08-04 Watlow Electric Manufacturing Company Graphical interface for programming ramping controllers
US5895596A (en) * 1997-01-27 1999-04-20 Semitool Thermal Model based temperature controller for semiconductor thermal processors
US5947718A (en) 1997-03-07 1999-09-07 Semitool, Inc. Semiconductor processing furnace
US5994675A (en) 1997-03-07 1999-11-30 Semitool, Inc. Semiconductor processing furnace heating control system
DE19711702C1 (de) * 1997-03-20 1998-06-25 Siemens Ag Anordnung zur Bearbeitung einer Substratscheibe und Verfahren zu deren Betrieb
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
KR20010071235A (ko) 1998-05-11 2001-07-28 세미툴 인코포레이티드 열반응기용 온도 제어 시스템

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210003461A1 (en) * 2018-03-22 2021-01-07 University Of Helsinki Sensor calibration
KR20200124161A (ko) * 2019-04-23 2020-11-02 도쿄엘렉트론가부시키가이샤 제어 방법, 계측 방법, 제어 장치 및 열 처리 장치

Also Published As

Publication number Publication date
US6441350B1 (en) 2002-08-27
JP2002515648A (ja) 2002-05-28
US6211495B1 (en) 2001-04-03
US6207937B1 (en) 2001-03-27
WO1999059196A1 (en) 1999-11-18
US6222164B1 (en) 2001-04-24
EP1093664A4 (en) 2003-07-09
EP1093664A1 (en) 2001-04-25
TW446995B (en) 2001-07-21

Similar Documents

Publication Publication Date Title
KR20010071235A (ko) 열반응기용 온도 제어 시스템
US20230383411A1 (en) Substrate processing apparatus and non-transitory computer-readable recording medium
KR101476727B1 (ko) 냉각제 유동 제어 및 히터 듀티 사이클 제어에 의한 부품 온도 제어
KR20120099592A (ko) 열처리 장치 및 그 제어 방법
JP4891987B2 (ja) 温度調整方法
US5291514A (en) Heater autotone control apparatus and method
TW202030363A (zh) 自動校準程序獨立前饋控制
US20220325414A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, method of processing substrate, and recording medium
JP2004072030A (ja) 半導体製造装置
EP4209112A1 (en) Method and system for controlling an electric heater using control on energy
KR100809490B1 (ko) 미세 유량 제어가 가능한 밸브 시스템 및 그의 미세 유량제어방법
US20220050485A1 (en) Method and system for providing variable ramp-down control for an electric heater
US7087443B2 (en) Optimized temperature controller for cold mass introduction
JP2007066849A (ja) 電磁誘導流体加熱装置及び同電磁誘導流体加熱装置の制御方法
JPH0956068A (ja) 複数の電力使用系の動作制御方法及びその装置
JPS63307223A (ja) 連続焼鈍炉の板温制御における速度変更方法
US20220392813A1 (en) Control method and control apparatus
KR102452021B1 (ko) 온도 감시 장치, 열처리 장치 및 온도 감시 방법
JP2001237186A (ja) 半導体製造装置
JP2004006447A (ja) 熱処理装置およびそれに用いられる温度制御方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid