JP5024382B2 - サセプタ及びシリコンエピタキシャルウェーハの製造方法 - Google Patents

サセプタ及びシリコンエピタキシャルウェーハの製造方法 Download PDF

Info

Publication number
JP5024382B2
JP5024382B2 JP2009526405A JP2009526405A JP5024382B2 JP 5024382 B2 JP5024382 B2 JP 5024382B2 JP 2009526405 A JP2009526405 A JP 2009526405A JP 2009526405 A JP2009526405 A JP 2009526405A JP 5024382 B2 JP5024382 B2 JP 5024382B2
Authority
JP
Japan
Prior art keywords
susceptor
silicon substrate
silicon
epitaxial wafer
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009526405A
Other languages
English (en)
Other versions
JPWO2009020024A1 (ja
Inventor
毅 西澤
好郎 萩原
秀樹 針谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Handotai Co Ltd
Original Assignee
Shin Etsu Handotai Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Handotai Co Ltd filed Critical Shin Etsu Handotai Co Ltd
Priority to JP2009526405A priority Critical patent/JP5024382B2/ja
Publication of JPWO2009020024A1 publication Critical patent/JPWO2009020024A1/ja
Application granted granted Critical
Publication of JP5024382B2 publication Critical patent/JP5024382B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Description

本発明は、シリコンエピタキシャル層を気相成長させるシリコンエピタキシャルウェーハの製造において、シリコン単結晶基板を載置するサセプタと、それを用いたシリコンエピタキシャルウェーハの製造方法とに関する。
従来より、シリコン単結晶基板(以下、シリコン基板と記載する)の主表面上にシリコンエピタキシャル層(以下、エピタキシャル層と記載する)を気相成長させてシリコンエピタキシャルウェーハ(以下、エピタキシャルウェーハ又は単にウェーハと記載する)を製造する方法が知られている。
このようなエピタキシャルウェーハの製造では、反応容器内のサセプタに載置したシリコン基板を加熱しながら、このシリコン基板の主表面上へシリコン原料ガスを供給することで、エピタキシャル層を気相成長させる。
ところで、このエピタキシャルウェーハの製造工程において、シリコン基板には加熱による反りが発生する。そのため、シリコン基板を載置するサセプタには反り形状に合わせた座ぐりが設けられ、この座ぐりの底面にシリコン基板が載置される。その他、反りの抑制方法としては、種々の方法が提案されている(例えば、特許文献1,2参照)。
また、このサセプタのシリコン基板を載置する面には、ガスの通路としてメッシュ状の溝が形成される場合がある。これは、シリコン基板を載置する際の位置ずれを防止する他、シリコン基板を取り出す際に容易にサセプタから取り外せるといった効果がある。但し、このサセプタは、通常、基材である黒鉛を炭化珪素の被膜でコーティングしたものが用いられる。これは、気相成長の過程で黒鉛と原料ガスが反応して表面が炭化珪素に変化してしまうため、最初から炭化珪素の被膜で覆うようにしたものである。この炭化珪素の被膜は、通常、CVD(化学的気相成長法)により形成される。そして、シリコン基板を載置する面に上記のメッシュ状の溝が設けられる場合には、この溝の加工後に炭化珪素の被膜がコーティングされ、その後に当該面が仕上げ研磨される。ところが、過度に研磨すると、シリコン基板とサセプタとが貼り付いてしまうという不具合(図4B参照)が発生する。そこで、この貼り付きを防止するために、当該面の表面粗さを平均粗さ1μm以上、最大粗さ10μm以上とする方法が提案されている(例えば、特許文献3参照)。
特許第3900154号公報 WO2002/097872 特開平2−174116号公報
しかしながら、特許文献3の方法では、最大粗さが10μm以上であるため研磨を実施したとしても、被膜の突起による欠陥の発生を防止できない。この被膜の突起は、メッシュ部に被膜をコーティングした際に生じる炭化珪素の異常な成長によるもので、シリコン基板の裏面と接触して、凸状の歪みや熱応力による段差であるスリップ(図4A参照)といった欠陥を発生させる。
本発明は、上記事情を鑑みてなされたもので、シリコン基板とサセプタとの貼り付きとともに、歪みやスリップといった欠陥の発生も防止できるサセプタと、それを用いたシリコンエピタキシャルウェーハの製造方法の提供を課題とする。
上記課題を解決するために、本発明者は、様々なサセプタのメッシュ形状による貼り付きや欠陥の発生に関しての検討を行った。その結果、載置面の被膜の中心線平均粗さRaと突起の最大高さとをそれぞれの所定範囲にすると、シリコン基板とサセプタとの貼り付きとともに、歪みやスリップといった欠陥の発生も防止できることを見出し、本発明を提案するに至ったものである。
そこで、本発明に係るサセプタは、シリコン基板を載置する載置面にメッシュ状の溝を備えた、エピタキシャルウェーハ製造用のサセプタであって、
前記載置面には、炭化珪素の被膜が形成され、
前記被膜は、
表面粗さが中心線平均粗さRaで1μm以上となり、当該被膜のコーティング時に発生する突起の最大高さが5μm以下となるよう形成されることを特徴とする。
本発明のサセプタによれば、メッシュ状の溝が形成されたシリコン基板の載置面における炭化珪素の被膜の表面粗さを中心線平均粗さRaで1μm以上とすることで、シリコン基板とサセプタとの貼り付きを防止できる。更に、前記載置面における当該被膜のコーティング時に発生する突起の最大高さを5μm以下とすることで、歪みやスリップといった欠陥の発生を防止できる。
また、前記載置面における前記被膜は、表面を研磨されたものであることが好ましい。
本発明に係るエピタキシャルウェーハの製造方法は、上記のサセプタを用いて、シリコン基板の主表面上にエピタキシャル層を気相成長させることを特徴とする。
本発明のエピタキシャルウェーハの製造方法によれば、上記のサセプタを用いて、シリコン基板の主表面上にエピタキシャル層を気相成長させるので、シリコン基板とサセプタとの貼り付きとともに歪みやスリップといった欠陥の発生を防止したエピタキシャルウェーハの製造が可能となる。
本発明によれば、シリコン基板の載置面における炭化珪素の被膜の表面粗さを中心線平均粗さRaで1μm以上とすることで、シリコン基板とサセプタとの貼り付きを防止できる。更に、前記載置面における当該被膜のコーティング時に発生する突起の最大高さを5μm以下とすることで、歪みやスリップといった欠陥の発生を防止できる。
実施の形態における気相成長装置の模式的な断面図である。 実施の形態におけるサセプタの断面図である。 図2AのC部を拡大した図である。 実施の形態におけるエピタキシャルウェーハ製造工程での温度変化を示す図である。 スリップの発生例を示した図である。 貼り付きの発生例を示した図である。
以下、本発明の実施の形態について、図を参照して説明する。
まず、本発明において使用される気相成長装置の好適な一例として、枚葉式の気相成長装置の構成について説明する。
図1に示すように、気相成長装置11は、透明石英からなる反応容器12と、反応容器12の内部に設けられてシリコン基板Wを上面で支持するサセプタ13とを備えている。
反応容器12には、反応容器12内に原料ガス(例えば、トリクロロシラン)及びキャリアガス(例えば、水素)を含む気相成長用ガスをサセプタ13の上側の領域に導入してサセプタ13上のシリコン基板Wの主表面上に供給する気相成長用ガス導入管14が設けられている。
また、反応容器12のうちの、気相成長用ガス導入管14が設けられた側と同じ側(図1における左側)には、反応容器12内にパージガス(例えば、水素)をサセプタ13の下側の領域に導入するパージガス導入管15が設けられている。
さらに、反応容器12のうちの、気相成長用ガス導入管14及びパージガス導入管15が設けられた側と反対側(図1における右側)には、反応容器12内のガス(気相成長用ガス及びパージガス)が排気される排気管16が設けられている。
反応容器12の外部には、反応容器12を上側と下側とから加熱する複数の加熱装置17a、17bが設けられている。加熱装置17a、17bとしては、例えば、ハロゲンランプ等が挙げられる。なお、図1は便宜的に加熱装置17a,17bの数量を定めてあるが、これに制限されるものではない。
サセプタ13は、例えば略円板状に形成され、その主表面には、当該主表面上にシリコン基板Wを位置決めするための平面視略円形状の窪み部である座ぐり13aが形成されている。
また、サセプタ13は、黒鉛製の基材Kが炭化珪素の被膜Hでコーティングされて形成されており、基材Kには、図2A,Bに示すように、シリコン基板Wを載置する座ぐり13aの底面上に、ガスの通路としてメッシュ状の溝13bが設けられている。図2Aはサセプタ13の断面図であり、図2Bは図2AのC部を拡大した図である。この溝13bは0.6〜2mmピッチで形成され、この溝13bに囲まれて形成される基材Kの凸部13cは、頂面が0.1〜0.5mm四方の正方形となる。そして、このメッシュ状の溝13bは、シリコン基板Wを載置する際の位置ずれを防止する他、シリコン基板Wを取り出す際には容易にサセプタ13から取り外せるといった効果を奏するようになっている。
このサセプタ13の構成材料としては、上記の通り基材Kに黒鉛が、被膜Hに炭化珪素が用いられる。基材Kとして黒鉛が選択されるのは、開発当初の気相成長装置の加熱方式の主流が高周波誘導加熱であったことと関連しているが、その他にも高純度品が得やすいこと、加工が容易であること、熱伝導率に優れていること、破損しにくい等のメリットがあるためである。ただし、黒鉛は多孔質体であるが故にプロセス中に吸蔵ガスを放出する可能性があること、また、気相成長の過程では黒鉛と原料ガスが反応してサセプタの表面が炭化珪素に変化すること等の問題がある。そのため、表面を最初から炭化珪素の被膜Hで覆う構成が一般化している。この炭化珪素の被膜Hは、通常、CVD(化学的気相成長法)により50〜200μmの厚さに形成される。
また、この炭化珪素の被膜Hは、上記のメッシュ状の溝13bが加工された後に形成される。この際、凸部13c上に形成される被膜Hが異常成長して、図2Bに示すような突起13pとなることがある。この突起13pは、一定の高さ以上になるとシリコン基板Wと接触してしまい、歪みやスリップといった欠陥の原因となる。そこで、突起13pの最大高さが5μm以下となるように研磨仕上げを行う。同時に、この研磨仕上げでは、過剰研磨によるシリコン基板Wとサセプタ13との貼り付きが発生しないよう、中心線平均粗さRaが1μm以上であるようにする。このようにサセプタ13におけるシリコン基板Wの載置面を研磨仕上げすれば、シリコン基板Wとサセプタ13との貼り付きも、歪みやスリップといった欠陥も防止することができる。なお、この研磨仕上げは、前記の表面粗さ及び突起高さが得られれば、従来より公知の機械研磨でも手動研磨でもよい。
以上のサセプタ13の裏面には、図1に示すように、サセプタ13を支持するサセプタ支持部材18が設けられている。このサセプタ支持部材18は、矢印Aで示す上下方向に移動可能で、かつ、矢印Bで示す方向に回転可能とされている。
次に、シリコン基板Wの主表面にエピタキシャル層を気相成長させる工程について、図1及び図3を参照して説明する。図3は本工程における反応容器12内の温度変化の一例を示す図である。
最初に、投入温度(例えば、650℃)に調整した反応容器12内にシリコン基板Wを投入し、その主表面が上を向くように、サセプタ13上面の座ぐり13a内に載置する(工程S1)。
ここで、反応容器12内には、シリコン基板Wが投入される前段階から、気相成長用ガス導入管14及びパージガス導入管15をそれぞれ介して水素ガスが導入されている。
次に、サセプタ13上のシリコン基板Wを加熱装置17a、17bにより水素熱処理温度(例えば、1100〜1180℃)まで加熱する(工程S2)。
次に、シリコン基板Wの主表面に形成されている自然酸化膜を除去するための気相エッチングを行う(工程S3)。なお、この気相エッチングは、具体的には、次工程である気相成長の直前まで行われる。
次に、シリコン基板Wを所望の成長温度(例えば、1060〜1150℃)まで降温し、気相成長用ガス導入管14を介してシリコン基板Wの主表面上に原料ガス(例えば、トリクロロシラン)を、パージガス導入管15を介してパージガス(例えば、水素)をそれぞれ略水平に供給することによってシリコン基板Wの主表面上にエピタキシャル層を気相成長してエピタキシャルウェーハを製造する(工程S4)。なお、パージガスは原料ガスよりも高圧で供給される。これは、反応容器12と第1サセプタ13との間の隙間から、第1サセプタ13より下側の空間へ原料ガスが進入するのを防止するためである。
最後に、エピタキシャルウェーハを取出温度(例えば、650℃)まで降温し(工程S5)、反応容器12外へと搬出する(工程S6)。
このようなエピタキシャルウェーハの製造方法によれば、載置面の被膜Hの表面粗さを中心線平均粗さRaで1μm以上とし、突起13pの最大高さを5μm以下としたサセプタ13を用いることで、シリコン基板Wと当該サセプタ13との貼り付きとともに歪みやスリップといった欠陥の発生を防止したエピタキシャルウェーハの製造が可能となる。
以下に、実施例および比較例を挙げることにより、本発明をさらに具体的に説明する。
本実施の形態におけるサセプタ13として以下の表1に示すサセプタA〜Hを製作し、これらを用いてエピタキシャルウェーハを製造した。
Figure 0005024382
本実施例では、気相成長装置11として、ASM社製の「Epsilon」(商品名)を使用した。また、製造したエピタキシャルウェーハは、直径200mm、主表面の面方位(100)のp型シリコン基板Wに対し、目標厚さ70μm、不純物ノンドープのエピタキシャル層を気相成長させたものである。
また、サセプタ13の炭化珪素の被覆は100μmの厚さとした。サセプタ13におけるシリコン基板Wを載置する面の中心線平均粗さRa(表面粗さ)、突起13pの最大高さ(最大突起高さ)、研磨条件は、表1に示す通りである。
ここで、表1の研磨条件について説明する。まず、サセプタC,Dは炭化珪素の小片を用いて手動で共摺りする方法によっており、それ以外は全て機械加工によっている。機械加工したもののうち、サセプタAは突起13pのみの除去としており、サセプタBはサセプタAの状態に少し表面研磨を加えている。また、サセプタEは仕上げ研磨を充分な時間を掛けて実施しており、サセプタFは荒削りを行わずに仕上げ研磨だけとしている。そして、サセプタG,Hは荒削り後に仕上げ研磨を実施しており、最小の表面粗さとなっている。
[ウェーハ品質評価]
上記のサセプタA〜Hを使用して製造したエピタキシャルウェーハに対し、歪み、スリップ、外周の貼り付きの発生有無をそれぞれ確認した。結果を以下の表2に示す。表中の「○」は上記の欠陥が確認されなかったこと、「×」は欠陥が確認されたことを示す。なお、スリップと外周の貼り付きの確認には、X線トポグラフィーを使用した。
Figure 0005024382
表2の結果によると、サセプタC〜Hによるウェーハでは歪みとスリップが確認されず、サセプタA〜Dによるウェーハでは貼り付きが確認されなかった。これにより、突起13pの最大高さが5μm以下であれば歪みとスリップが発生せず、表面粗さが中心線平均粗さRaで1μm以上あれば貼り付きが発生しないことが分かった。
以上のように、本発明のサセプタによれば、メッシュ状の溝13bが形成されたシリコン基板Wの載置面における炭化珪素の被膜Hの表面粗さを中心線平均粗さRaで1μm以上とすることで、シリコン基板Wとサセプタ13との貼り付きを防止できる。更に、前記載置面における当該被膜Hのコーティング時に発生する突起13pの最大高さを5μm以下とすることで、歪みやスリップといった欠陥の発生を防止できる。
また、本発明のエピタキシャルウェーハの製造方法によれば、上記のサセプタ13を用いて、シリコン基板Wの主表面上にエピタキシャル層を気相成長させるので、シリコン基板Wとサセプタ13との貼り付きとともに歪みやスリップといった欠陥の発生を防止したエピタキシャルウェーハの製造が可能となる。
以上のように、本発明に係るサセプタ及びシリコンエピタキシャルウェーハの製造方法は、従来と比較して、シリコン基板とサセプタとの貼り付きや、歪み及びスリップといった欠陥の発生を防止するのに有用である。
符号の説明
H 被膜
W シリコン基板
13 サセプタ
13b 溝
13p 突起

Claims (3)

  1. シリコン単結晶基板を載置する載置面にメッシュ状の溝を備えた、シリコンエピタキシャルウェーハ製造用のサセプタであって、
    前記載置面には、炭化珪素の被膜が形成され、
    前記被膜は、
    表面粗さが中心線平均粗さRaで1μm以上となり、当該被膜のコーティング時に発生する突起の最大高さが5μm以下となるよう形成されることを特徴とするサセプタ。
  2. 前記被膜は、表面を研磨されたものであることを特徴とする請求項1に記載のサセプタ。
  3. 請求項1又は2に記載のサセプタを用いて、シリコン単結晶基板の主表面上にシリコンエピタキシャル層を気相成長させることを特徴とするシリコンエピタキシャルウェーハの製造方法。
JP2009526405A 2007-08-03 2008-07-30 サセプタ及びシリコンエピタキシャルウェーハの製造方法 Active JP5024382B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009526405A JP5024382B2 (ja) 2007-08-03 2008-07-30 サセプタ及びシリコンエピタキシャルウェーハの製造方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2007203080 2007-08-03
JP2007203080 2007-08-03
PCT/JP2008/063661 WO2009020024A1 (ja) 2007-08-03 2008-07-30 サセプタ及びシリコンエピタキシャルウェーハの製造方法
JP2009526405A JP5024382B2 (ja) 2007-08-03 2008-07-30 サセプタ及びシリコンエピタキシャルウェーハの製造方法

Publications (2)

Publication Number Publication Date
JPWO2009020024A1 JPWO2009020024A1 (ja) 2010-11-04
JP5024382B2 true JP5024382B2 (ja) 2012-09-12

Family

ID=40341258

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009526405A Active JP5024382B2 (ja) 2007-08-03 2008-07-30 サセプタ及びシリコンエピタキシャルウェーハの製造方法

Country Status (3)

Country Link
US (1) US8021968B2 (ja)
JP (1) JP5024382B2 (ja)
WO (1) WO2009020024A1 (ja)

Families Citing this family (298)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4379585B2 (ja) * 2003-12-17 2009-12-09 信越半導体株式会社 気相成長装置およびエピタキシャルウェーハの製造方法
JP2009087989A (ja) * 2007-09-27 2009-04-23 Nuflare Technology Inc エピタキシャル成長膜形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9583364B2 (en) 2012-12-31 2017-02-28 Sunedison Semiconductor Limited (Uen201334164H) Processes and apparatus for preparing heterostructures with reduced strain by radial compression
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
ITCO20130040A1 (it) * 2013-09-27 2015-03-28 Lpe Spa Suscettore ricoperto
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
ITCO20130058A1 (it) * 2013-11-11 2015-05-12 Lpe Spa Suscettore con lavorazioni di forma arcuata nella superficie d'appoggio dei substrati
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
EP3626865A1 (en) 2018-09-20 2020-03-25 Heraeus GMSI LLC Susceptor and method for manufacturing the same
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7241519B2 (ja) * 2018-12-04 2023-03-17 東京エレクトロン株式会社 基板載置台、基板処理装置及び基板載置台の製造方法
DE102018131987A1 (de) * 2018-12-12 2020-06-18 Aixtron Se Substrathalter zur Verwendung in einem CVD-Reaktor
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11826873B2 (en) 2020-08-24 2023-11-28 Applied Materials, Inc. Apparatus and methods for susceptor deposition material removal
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0766142A (ja) * 1993-08-25 1995-03-10 Nippon Asm Kk Cvd装置に使用する回転機構、およびこの機構を利用して被処理体の温度を制御する方法
JPH07335572A (ja) * 1994-06-08 1995-12-22 Toshiba Ceramics Co Ltd 半導体ウエハの熱処理用サセプタ及びその製造方法
JPH088198A (ja) * 1994-06-21 1996-01-12 Sumitomo Sitix Corp 気相成長装置用サセプター
JPH08277193A (ja) * 1995-03-31 1996-10-22 Sumitomo Sitix Corp 気相成長装置用サセプター
JP2000021788A (ja) * 1998-06-26 2000-01-21 Shin Etsu Handotai Co Ltd 薄膜成長装置およびこれを用いた薄膜成長方法
WO2002097872A1 (fr) * 2001-05-31 2002-12-05 Shin-Etsu Handotai Co., Ltd. Procede de production d'une tranche de semi-conducteur et suscepteur utilise a cet effet
JP2003197532A (ja) * 2001-12-21 2003-07-11 Sumitomo Mitsubishi Silicon Corp エピタキシャル成長方法及びエピタキシャル成長用サセプター
JP2004063779A (ja) * 2002-07-29 2004-02-26 Komatsu Electronic Metals Co Ltd エピタキシャルウェーハ製造装置及びサセプタ構造
JP2005311290A (ja) * 2004-03-23 2005-11-04 Toshiba Ceramics Co Ltd サセプタ
JP2006237498A (ja) * 2005-02-28 2006-09-07 Rohm Co Ltd サセプタ

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02174116A (ja) 1988-12-26 1990-07-05 Toshiba Ceramics Co Ltd サセプタ
DE102005045081B4 (de) * 2004-09-29 2011-07-07 Covalent Materials Corp. Suszeptor

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0766142A (ja) * 1993-08-25 1995-03-10 Nippon Asm Kk Cvd装置に使用する回転機構、およびこの機構を利用して被処理体の温度を制御する方法
JPH07335572A (ja) * 1994-06-08 1995-12-22 Toshiba Ceramics Co Ltd 半導体ウエハの熱処理用サセプタ及びその製造方法
JPH088198A (ja) * 1994-06-21 1996-01-12 Sumitomo Sitix Corp 気相成長装置用サセプター
JPH08277193A (ja) * 1995-03-31 1996-10-22 Sumitomo Sitix Corp 気相成長装置用サセプター
JP2000021788A (ja) * 1998-06-26 2000-01-21 Shin Etsu Handotai Co Ltd 薄膜成長装置およびこれを用いた薄膜成長方法
WO2002097872A1 (fr) * 2001-05-31 2002-12-05 Shin-Etsu Handotai Co., Ltd. Procede de production d'une tranche de semi-conducteur et suscepteur utilise a cet effet
JP2003197532A (ja) * 2001-12-21 2003-07-11 Sumitomo Mitsubishi Silicon Corp エピタキシャル成長方法及びエピタキシャル成長用サセプター
JP2004063779A (ja) * 2002-07-29 2004-02-26 Komatsu Electronic Metals Co Ltd エピタキシャルウェーハ製造装置及びサセプタ構造
JP2005311290A (ja) * 2004-03-23 2005-11-04 Toshiba Ceramics Co Ltd サセプタ
JP2006237498A (ja) * 2005-02-28 2006-09-07 Rohm Co Ltd サセプタ

Also Published As

Publication number Publication date
WO2009020024A1 (ja) 2009-02-12
JPWO2009020024A1 (ja) 2010-11-04
US8021968B2 (en) 2011-09-20
US20100129990A1 (en) 2010-05-27

Similar Documents

Publication Publication Date Title
JP5024382B2 (ja) サセプタ及びシリコンエピタキシャルウェーハの製造方法
CN107851560B (zh) 基座、外延生长装置、及外延晶圆
JP5158093B2 (ja) 気相成長用サセプタおよび気相成長装置
TWI296129B (en) Epitaxially coated silicon wafer and method for producing epitaxially coated silicon wafers
JP5604907B2 (ja) 気相成長用半導体基板支持サセプタおよびエピタキシャルウェーハ製造装置およびエピタキシャルウェーハの製造方法
TWI424476B (zh) 磊晶塗覆的矽晶圓及製造磊晶塗覆的矽晶圓的方法
JP6435992B2 (ja) エピタキシャル成長装置、エピタキシャルウェーハの製造方法およびエピタキシャル成長装置用リフトピン
WO2009084154A1 (ja) エピタキシャル成長用サセプタ
JP2017109900A (ja) エピタキシャル成長装置、エピタキシャル成長方法及び半導体素子の製造方法
JP3004846B2 (ja) 気相成長装置用サセプタ
JP5347288B2 (ja) シリコンエピタキシャルウェーハの製造方法
KR20170023792A (ko) 서셉터 및 그 제조 방법
JP4880624B2 (ja) 気相成長用サセプター及びその製造方法
JP2010147080A (ja) 気相成長用サセプタ及び気相成長装置並びにエピタキシャルウェーハの製造方法
TW201907035A (zh) 碳化矽晶圓的製造方法、磊晶晶圓的製造方法及磊晶晶圓
JP2004200436A (ja) サセプタ及びその製造方法
JP2009038294A (ja) 出力調整方法、シリコンエピタキシャルウェーハの製造方法、及びサセプタ
JP3811540B2 (ja) 炭化珪素成形体の製造方法
JPWO2018207942A1 (ja) サセプタ、エピタキシャル基板の製造方法、及びエピタキシャル基板
JP6841359B1 (ja) シリコンエピタキシャルウェーハ製造用サセプタの製造方法及びシリコンエピタキシャルウェーハの製造方法
JP2009182009A (ja) 気相成長装置および気相成長方法
JP6587354B2 (ja) サセプタ
JP4007598B2 (ja) サセプタおよびその製造方法
CN216793619U (zh) 一种新型碳化硅衬底的前处理设备
JP5942939B2 (ja) エピタキシャルウェーハの製造方法

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120522

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120604

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150629

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5024382

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250