JP4274017B2 - 成膜装置 - Google Patents

成膜装置 Download PDF

Info

Publication number
JP4274017B2
JP4274017B2 JP2004083046A JP2004083046A JP4274017B2 JP 4274017 B2 JP4274017 B2 JP 4274017B2 JP 2004083046 A JP2004083046 A JP 2004083046A JP 2004083046 A JP2004083046 A JP 2004083046A JP 4274017 B2 JP4274017 B2 JP 4274017B2
Authority
JP
Japan
Prior art keywords
film
plasma
film forming
film thickness
forming apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004083046A
Other languages
English (en)
Other versions
JP2005139547A (ja
Inventor
善之 小西
克豊 小山内
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shimadzu Corp
Original Assignee
Shimadzu Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shimadzu Corp filed Critical Shimadzu Corp
Priority to JP2004083046A priority Critical patent/JP4274017B2/ja
Priority to US10/954,145 priority patent/US7354482B2/en
Publication of JP2005139547A publication Critical patent/JP2005139547A/ja
Application granted granted Critical
Publication of JP4274017B2 publication Critical patent/JP4274017B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/542Controlling the film thickness or evaporation rate
    • C23C14/544Controlling the film thickness or evaporation rate using measurement in the gas phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

本発明はフィルム、シート等の膜状材料、または磁気ヘッドなどの固体材料の表面に、保護膜または機能性薄膜などを成膜する成膜装置に関する。
従来より、成膜装置で形成される薄膜の膜厚を検知し適切な時刻に成膜を停止するため、時間制御またはin−situ膜圧モニタ制御が行われている。時間制御においては成膜時間と膜厚が比例関係にあると考え、あらかじめ作成した厚膜の膜厚と成膜時間を測定し、単位時間あたりの成膜速度を計算した後、そのデータから希望する膜厚が得られるべき時刻に手動または自動操作により成膜を停止させる。またin−situ膜厚モニタ制御においては、水晶振動子式膜厚モニタまたはエリプソメータ式膜厚モニタなどを使用して時々刻々の膜厚を測定し、所定の膜厚が達成された時点で手動または自動操作により成膜を停止させる。
以下、図7によって従来の成膜装置のin−situ膜圧モニタ制御の構成と作動を説明する。1はプラズマ源で、アーク放電を利用したFCVA(Filtered Cathodic Vacuum Arc)が実用化されている(たとえば非特許文献1参照)。そのほか磁場中での高周波放電を利用したプラズマCVDも実用化されている。
1はプラズマ源で、その中でプラズマ流3すなわち被成膜物の正イオンおよび負電荷を持つ電子の混合体が発生する。2は導入路でプラズマ流3を成膜室6に導く。一般に導入路2はプラズマ以外の中性粒子を取り除く機械的・電磁的フィルタで構成されている(たとえば非特許文献1参照。)。成膜室6にはシャッタ軸4に固着されたシャッタ5、モニタセンサ7、基板ホルダ9および基板ホルダ9に取り外し可能な構造で保持された基板10が配設されている。シャッタ軸4はモータMによって回転し、シャッタ5を開閉する。図はシャッタ5が開の状態を示している。プラズマ中の正イオンは基板10に堆積し、成膜される。また正イオンの一部はモニタセンサ7に堆積する。モニタセンサ7は水晶振動子式膜厚モニタセンサである。モニタセンサ7への被成膜物の堆積重量は水晶振動子の発振周波数の変化を生じ、電気信号として伝送線8を経由して制御電源11に導かれる。制御電源11は水晶振動子の発振周波数の変化を表示するとともに、あらかじめ定めた発振周波数になったときに成膜終了信号を発信する。
モニタセンサ7への被成膜物の堆積重量と、基板10上に堆積する膜の膜厚が比例するとして膜厚と発振周波数の変化量の関係を校正データとして作成しておくことにより、制御電源11から成膜終了信号を発信することができる。校正時の基板10上に堆積する膜の膜厚は別途、市販の機械式膜厚計や後記のエリプソメータなどを利用して測定し、上記の校正データを作成する。なお、膜厚測定の別方法としてin−situ(その場)分光エリプソメータを用いる方法もある(たとえば非特許文献2参照)。この場合は成膜室6の外部から真空窓(図示せず)を介して光ビームを成膜中の基板10に入射させ、成膜表面からの反射光を再び成膜室6の外部に導きエリプソメータのセンサ(図示せず)に入射させ、反射光の偏光状態を解析することによって膜厚に換算する。耐真空型の光ファイバーを使用した光ビーム発射器兼センサを成膜室6の中におき、真空窓を使用せずに基板10に光を入反射させることによって膜厚を測定できるエリプソメータも開発されているが、以下、本明細書では水晶振動子式膜厚モニタセンサを使用する場合を説明する。
成膜に際しては準備工程として前記の校正データを作成しておく。成膜工程では最初にプラズマ源1、導入路2、成膜室6を真空ポンプ(図示せず)で所定の真空度まで排気し、プラズマ源1に放電持続のためのガス、被成膜物の原材料である所定のガスまたは粒子(図示せず)を供給し、シャッタ5閉状態でプラズマの発生を開始する。次にシャッタ5を開いてプラズマ流3を基板10に入射させ、被成膜物の正イオンを基板10上に堆積させる。校正データにより判明している所定の膜厚が得られたときに制御電源11の成膜終了信号をモータMに入力し、シャッタ軸4を回転し、シャッタ5を閉状態に切り替え、成膜を完了する。なお、基板10上に堆積する膜の物性は基板10の電位で影響を受けるので、必要により基板10には外部電源(図示せず)から電位が供給される。たとえば基板10を負電位に保つと、基板10に被成膜物の正イオンと共に入射する電子の入射量を制御することができる。
従来の成膜装置のさらに他の背景技術として、図8に示すように、導入路2の形状または電磁気的手段(図示せず)により、プラズマ流3をビーム状に形成し、プラズマ流3の成膜室6への入射位置付近に走査器SUを設け、プラズマ流3を図の上下方向および紙面に垂直方向に走査するものがある。以下この構造を走査型成膜装置と称する。この場合はある時刻におけるプラズマビームは一方向、たとえばモニタセンサ7方向または基板10方向の一点のみに向いており、走査器SUの条件設定で決まる周期ごとに、ある特定の一点が照射される。したがってモニタセンサ7の発振周波数は前記周期ごとに階段状に変化し、その電気信号は伝送線8を経由して制御電源11に導かれる。図8において導入路2および走査器SU以外の構成要素および作動は基本的に図7に同一であるので説明を省略する。また図8には図7のシャッタ軸4、シャッタ5、モータMの記載を省略する。
島津製作所カタログC676−0091、「Filtered Cathodec Vacuum Arc[FCVA]」、(第1−2頁) J.A.WOOLLAM JAPAN−RESEARCH AND INSTRUMENTATION−技術資料、「エリプソメトリーデータ解析法」、(第1−4頁)
従来の成膜装置の構造は以上のとおりであるが、この構造では膜厚の制御範囲、制御精度などが十分でない。すなわちプラズマ源のプラズマ発生量はプラズマ源に供給するガス流量、放電強度などの変動で時間的にゆらぎが生ずるが、時間制御ではこのゆらぎ、したがって成膜速度の変動にリアルタイムで追随する機能が無く、膜厚が一定に制御されている保証がない。また水晶振動子式膜厚モニタは被成膜物の重量変化を周波数変化として取り出しているため、一般に約100nm以下の薄膜では周波数変化が十分でなく、たとえば2〜3nmの膜厚の磁気ヘッドの保護膜の作成時にはこの方法は適用できない。
またエリプソメータは、大気中で時間の制約無く膜厚を測定するのには適した方法で測定精度も高いが、測定の都度、偏光量の測定およびその膜厚への変換のための解析時間が必要であり、in−situ分光エリプソメータ式膜厚モニタを使用しても上記解析に数秒程度の時間を要するため測定は離散的になり、成膜に伴い急速に増加する膜厚をリアルタイムで測定し、ただちにシャッタ5を閉止することは困難であり、複数回のデータを元に補間計算を行い、成膜停止時刻を予測して成膜終了信号を発信する必要がある。特に膜厚が数十nm以下の膜では成膜時間が解析時間より短時間になる場合もあり、正確な膜厚制御は不可能である。したがってこの方法を精度良く適用するためには成膜速度を相当小さくするか、成膜途上で段階的に成膜を中断して膜厚測定を多数回繰り返す必要があり、工程が複雑になりスループットが低下する。
また従来の構造では被成膜物の堆積重量の監視を1個のモニタセンサ7で行っているので、プラズマ流3の強度の時間的変動、広がり分布の時間的変動が激しい場合は、監視される堆積重量と基板10上の成膜厚との相関関係が必ずしも十分ではない。すなわち、図8の成膜装置においてプラズマ流量が時間的に変動した場合、プラズマ流ビームがモニタセンサ7を照射する時刻と基板10を照射する時刻が異なっているため、モニタセンサ7の出力は基板10を照射するプラズマ量を正しく反映しないので相関関係が損なわれる。
またプラズマ流ビームがモニタセンサ7を照射する時間は成膜時間の極く一部であるため、ある時刻に1個のモニタセンサ7に入射する堆積量は小さくなり、雑信号の影響を受ける。さらに図7の装置においても、導入路2から成膜室6に入射するプラズマ流3の広がり分布が時間的に変動した場合、モニタセンサ7の出力と基板10上の成膜厚との相関関係が損なわれる。これらの相関関係の変動は成膜厚制御の精度を損なう。本発明はこのような問題点を解決する手段を提供することを目的とする。
本発明が提供する成膜装置は上記課題を解決するために、成膜室にプラズマ量をリアルタイムで監視するプラズマ量モニタ手段と、プラズマ量の測定値から成膜厚を計算する計算手段を備え、成膜厚をリアルタイムで検出する。
本発明の効果として、薄膜から厚膜まで広い膜厚範囲に対して、高応答速度でプラズマ発生量の変動に追随し、精度良い膜厚の測定とその制御が可能になる。
被成膜物をプラズマ化して成膜する成膜室を有する成膜装置において、前記成膜室に、プラズマ量をリアルタイムで監視するプラズマ量モニタ手段と、プラズマ量の測定値から成膜厚を計算する計算手段を備え、成膜厚をリアルタイムで検出する。プラズマ量の監視には、プラズマを構成するプラズマ中の電子量を測定する。なお、以下の実施例では上記プラズマ量モニタ手段をファラデーカップと称する。
図1は本発明の1実施例の構成図である。1は被成膜物の正イオンと電子の混合体であるプラズマ流3を発生するためのプラズマ源である。2はプラズマ流3を成膜室6に導く導入路である。9は基板10を保持する基板ホルダで、プラズマ流3に面する方向に開口を持ち、相互に絶縁された二重の円筒からなるファラデーカップ21に近接配置されている。ファラデーカップ21の内側の円筒には正電圧を与えるバイアス電源22が接続され、さらにこのバイアス電源22にはファラデーカップ21に流入する電子の電流を計測する電流計23が接続されている。そしてこの電流計23はコンデンサ24と接続され電流計23を通過する電荷量を積分するアンプ25と協働する。そして積分された電荷量の積算値を成膜の膜厚にリアルタイムで換算し、膜厚出力信号として表示する。と同時に、あらかじめ定めた膜厚に到達した時点で制御電源26が成膜終了信号を発信する。成膜終了信号によりシャッタ軸4を回転してシャッタ5を閉じるようモータMが作動する。なお、ファラデーカップ21は基板ホルダ9に近接して且つ相互に遮蔽されない位置に配設され、その外側の円筒は通常接地される。外側の円筒の入口部には金網Pが張設される。金網Pはグリッドとも呼ばれ、金網Pによりファラデーカップ21内部の電界がシールドされ、外部に浸出しない。
プラズマ流3中の被成膜物の正イオンは基板ホルダ9上の基板10上に堆積し膜を作るが、同時にプラズマ流3の一部はファラデーカップ21に入射し、内側円筒に入射した電荷は電流として取り出される。本実施例ではプラズマ流量と直接相関を持つ量として、プラズマ流3中の電子電流を測定している。内側円筒にはバイアス電源22から正の電位が与えられているので、プラズマ流3中の正イオンは反発されて内側円筒には入射せず、電子電流のみが電流計23を経由してアンプ25に流れ、ファラデーカップ電流として検出される。図2は3種類の強度のプラズマ流3(図2中の記号□、○、△に対応)について、ファラデーカップ電流(単位mA)とバイアス電源22から供給されるバイアス電圧(単位V)の関係を示しており、40V以上の電圧を与えることによってファラデーカップ電流に飽和の傾向が見られる。これは正イオンの入射が防止され、電子電流のみが検出されていることを示している。なお、ファラデーカップ21の内側円筒に中性粒子等が衝突して二次電子が放出され外部に散逸すると正イオンの入射と同様の電流が生じ、プラズマ量の測定に誤差を生ずるが、上記のバイアス電圧によって二次電子は再度内側円筒に吸収されるので、この誤差は防止され、正確な測定が行われる。
成膜時はまず準備工程として、ファラデーカップ電流の積算値と真の膜厚の実測値の関係を校正データとして作成した後に成膜工程に進む。後記の図3の説明のように、ファラデーカップ電流の積算値と真の膜厚の実測値の間には十分な相関がある。成膜工程では最初に図1に示すプラズマ源1、導入路2、成膜室6を真空ポンプ(図示せず)で所定の真空度まで排気し、プラズマ源1に放電持続のためのガスおよび被成膜物の原材料である所定の気体または粒子(図示せず)を供給し、シャッタ5閉状態でプラズマの発生を開始する。次にシャッタ5を開いてプラズマ流3を基板10に入射させ、被成膜物の正イオンを基板10上に堆積させる。校正データにより判明している所定の膜厚が得られたときに、制御電源26から成膜終了信号を発信しモータMしたがってシャッタ軸4を回転し、シャッタ5を閉状態に切り替え、成膜を完了する。
図3はサンプルとして膜厚約2nmのTaC薄膜を10回作成した場合の、各回の膜厚出力信号(すなわちファラデーカップ電流の積算値、任意単位)とエリプソメータ測定値(すなわち膜厚の実測値、単位nm)の関係を示しており、両者には十分な相関が認められる。したがって、膜厚出力信号を常時監視し、信号が所定の膜厚に対応する値に到達した時点で成膜終了信号を出力しシャッタ5を閉じることにより、精度良く膜厚制御が出来ることが判る。これはプラズマ流3では正イオンと電子がバランスして等量存在しており、時々刻々の電子量を測定し、それを積分することで、プラズマ量の積算値の測定をする方法が適切な方法であることを示している。
図4は本発明の他の実施例である。モニタ手段は相互に電気的に接続された2個のファラデーカップ21Aおよびファラデーカップ21Bで構成する。この構成により、走査型成膜装置の単位時間当たりのモニタ回数はモニタ手段が1個の場合の2倍になり、プラズマ量の変動がある場合のモニタ手段の出力と基板10の成膜厚の相関関係を改善する。また単位時間の出力も2倍になるので雑音の影響も改善される。
本発明は上記の実施例に限定されるものではなく、さらに種々の変形実施例を挙げることができる。たとえば図1の実施例では成膜を自動的に終了する構成を示しているが、膜厚出力信号の表示を利用して終了を手動で行うこともできる。また、1個の成膜室の中に複数のファラデーカップを備えそれぞれのファラデーカップの相対感度を測定しておき、最初のファラデーカップの使用中は他方のファラデーカップにプラズマ流が当たらないように保護カバーをかけ、最初のファラデーカップ内に堆積物が析出するなどの理由で交換の必要が生じた際に、直ちに他方のファラデーカップを接続して引き続き使用する構造も考えられる。図4の実施例では2個のファラデーカップ21A、21Bを電気的に相互に接続し同時に使用しているが、さらに多数のファラデーカップを電気的に相互に接続し同時に使用する構造も考えられる。また、図5(a)〜(d)に示すように、ファラデーカップ21の形状は種々のものが可能である。図5(a)は図1、図4において説明した形状のもので、内側円筒21U、外側円筒21S、金網Pで構成される。図5(b)は内側円筒21Uを角形の内側電極箱21Vに、外側円筒21Sを角形の外側筐体21Tに置き換え、プラズマ入射面を矩形とし、奥行き寸法を短縮したものである。図5(c)は外側円筒21Sを角形の外側筐体21Tに、内側円筒21Uを円環形状のリング21Rで置き換えたものである。図5(d)は外側円筒21Sを成膜室6に、内側円筒21Sをリング21Rに置き換えたものである。図5(d)の構造を使用した変形実施例を図6に示す。さらに、リング21Rに代えて、プラズマの成膜室への入射口と基板10との中間、かつ基板10へのプラズマ流3の入射を妨害しない位置に、プラズマに交差する二次元面を持ち相互に電気的に接続された複数の導体板をモニタ手段として配設することもできる。本発明はこれらをすべて包含する。
本発明の実施例の構成図である。 ファラデーカップの電流とバイアス電圧の関係を示す図である。 膜厚出力信号とエリプソメータの測定値との一致を示す図である。 本発明の他の実施例の構成図である。 本発明のファラデーカップの変形実施例を示す図である。 本発明の変形実施例の構成図である。 従来の成膜装置の構成図である。 従来の走査型成膜装置の構成図である。
符号の説明
1 プラズマ源
2 導入路
3 プラズマ流
4 シャッタ軸
5 シャッタ
6 成膜室
7 モニタセンサ
8 伝送線
9 基板ホルダ
10 基板
11 制御電源
21、21A、21B ファラデーカップ
21U 内側円筒
21S 外側円筒
21R リング
21T 外側筐体
21V 内側電極箱
22 バイアス電源
23 電流計
24 コンデンサ
25 アンプ
26 制御電源
P 金網
M モータ
SU 走査器

Claims (6)

  1. 被成膜物をプラズマ化して成膜する成膜室を有する成膜装置において、前記成膜室に、成膜室に入射するプラズマ量を該プラズマ中の電子量を測定することによってリアルタイムで監視するプラズマ量モニタ手段と、プラズマ量の測定値から成膜厚を計算する計算手段を備え、成膜厚をリアルタイムで検出可能なようにしたことを特徴とする成膜装置。
  2. あらかじめ設定した成膜厚に到達した時点で成膜終了信号を出力する手段を備えたことを特徴とする請求項1記載の成膜装置。
  3. プラズマ量モニタ手段を複数個備えていることを特徴とする請求項1記載の成膜装置。
  4. プラズマ量モニタ手段を複数個備え、複数個のモニタ手段を電気的に接続し同時に使用することを特徴とする請求項1記載の成膜装置。
  5. プラズマ量モニタ手段は、プラズマの成膜室への入射口と成膜基板との中間に、プラズマに交差する二次元面に構成したことを特徴とする請求項1記載の成膜装置。
  6. プラズマ量モニタ手段は、プラズマの成膜室への入射口と成膜基板との中間に、入射口を囲繞する円環形状に構成したことを特徴とする請求項1記載の成膜装置。
JP2004083046A 2003-10-15 2004-03-22 成膜装置 Expired - Fee Related JP4274017B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2004083046A JP4274017B2 (ja) 2003-10-15 2004-03-22 成膜装置
US10/954,145 US7354482B2 (en) 2003-10-15 2004-09-30 Film deposition device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003355101 2003-10-15
JP2004083046A JP4274017B2 (ja) 2003-10-15 2004-03-22 成膜装置

Publications (2)

Publication Number Publication Date
JP2005139547A JP2005139547A (ja) 2005-06-02
JP4274017B2 true JP4274017B2 (ja) 2009-06-03

Family

ID=34525398

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004083046A Expired - Fee Related JP4274017B2 (ja) 2003-10-15 2004-03-22 成膜装置

Country Status (2)

Country Link
US (1) US7354482B2 (ja)
JP (1) JP4274017B2 (ja)

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008056546A (ja) * 2006-09-01 2008-03-13 Ihi Corp 炭素構造体の製造装置及び製造方法
NL2005249A (en) * 2009-09-24 2011-03-28 Asml Netherlands Bv Radiation detector.
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9368379B2 (en) * 2012-03-14 2016-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods of controlling semiconductor wafer fabrication processes
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6319103B2 (ja) * 2013-01-23 2018-05-09 株式会社ニコン 成膜装置、膜の製造方法、およびプログラム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6427374B2 (ja) * 2014-09-24 2018-11-21 スタンレー電気株式会社 成膜装置、成膜システム、および、薄膜製造方法
JP2016065279A (ja) * 2014-09-24 2016-04-28 スタンレー電気株式会社 成膜システム
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
ES2715875T3 (es) 2015-01-20 2019-06-06 Sturm Maschinen & Anlagenbau Gmbh Instalación y procedimiento para el recubrimiento metálico de una pieza de trabajo
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
EP3366804B1 (en) * 2017-02-22 2022-05-11 Satisloh AG Box coating apparatus for vacuum coating of substrates, in particular spectacle lenses
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110718439B (zh) * 2019-09-30 2020-12-18 中国科学院长春光学精密机械与物理研究所 离子束加工设备
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11931779B2 (en) 2021-02-11 2024-03-19 Saudi Arabian Oil Company Smart scraper
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3757119A (en) * 1972-03-08 1973-09-04 Bell Telephone Labor Inc Apparatus and method for determining sputtering efficiency
JPS5787829A (en) * 1980-11-20 1982-06-01 Toshiba Corp Ion etching device
JPH06331810A (ja) 1993-05-18 1994-12-02 Canon Inc 混合膜の成膜方法および成膜装置
US5858477A (en) * 1996-12-10 1999-01-12 Akashic Memories Corporation Method for producing recording media having protective overcoats of highly tetrahedral amorphous carbon
US6300643B1 (en) * 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
AU766473B2 (en) * 1998-10-06 2003-10-16 University Of Washington Charged particle beam detection system
US6613240B2 (en) * 1999-12-06 2003-09-02 Epion Corporation Method and apparatus for smoothing thin conductive films by gas cluster ion beam
US6723998B2 (en) * 2000-09-15 2004-04-20 Varian Semiconductor Equipment Associates, Inc. Faraday system for ion implanters

Also Published As

Publication number Publication date
US7354482B2 (en) 2008-04-08
US20050081790A1 (en) 2005-04-21
JP2005139547A (ja) 2005-06-02

Similar Documents

Publication Publication Date Title
JP4274017B2 (ja) 成膜装置
US20140084902A1 (en) Measurement apparatus
JP3914052B2 (ja) レーザー生成プラズマについての光学放出分光測定による元素分析装置
CN108012144A (zh) 一种x射线条纹相机成像性能测试系统及方法
Voronov et al. Glow discharge imaging spectroscopy with a novel acousto-optical imaging spectrometer
US10054557B2 (en) Method for measuring the mass thickness of a target sample for electron microscopy
JPH05121030A (ja) イオンビームを用いて電位測定を行うイオンビーム装置及び方法
Hinz et al. Nanoparticle forming reactive plasmas: a multidiagnostic approach
Goldstein et al. X-ray spectral measurement: WDS and EDS
JP6539779B2 (ja) 荷電粒子顕微鏡および試料撮像方法
Egorov et al. Studying the electrophysical parameters of a holographic microscope
CN109916507B (zh) 基于离子成像的真空紫外光横向分布在线测量装置及方法
JP3525674B2 (ja) 仕事関数またはイオン化ポテンシャル測定装置およびその方法
Scheithauer Status monitoring of ion sputter relevant parameters of an XPS depth profiling instrument
Feldman et al. An apparatus for measuring the characteristics of the high-speed image converter cameras operating in the range of soft x-rays and vacuum UV
JP6416199B2 (ja) 検出器及び電子検出装置
Lifshin et al. X-ray spectral measurement and interpretation
Harhausen et al. Concepts for in situ characterization and control of plasma ion assisted deposition processes
Bloomer et al. The Use of single-crystal CVD diamond X-ray beam diagnostics for synchrotron beamline commissioning and operation at diamond light source Ltd
JP2004235090A (ja) イオンエネルギー分析器の感度補正方法
Lasnier et al. Chapter 10: First wall and operational diagnostics
JP2005016988A (ja) 放射線検出器及びそれを用いた分光器の校正方法
Kulchitsky Analysis of the Parameters of Atomic and Molecular Beams in Molecular Beam Epitaxy Installations
Rosily et al. Imaging requirements for particle accelerators
CN117538222A (zh) 颗粒检测传感器集成组件、颗粒检测系统及方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060627

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081118

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090116

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090210

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090223

R150 Certificate of patent or registration of utility model

Ref document number: 4274017

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120313

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120313

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130313

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140313

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees