JP2004207564A - 半導体装置の製造方法と半導体装置 - Google Patents

半導体装置の製造方法と半導体装置 Download PDF

Info

Publication number
JP2004207564A
JP2004207564A JP2002376009A JP2002376009A JP2004207564A JP 2004207564 A JP2004207564 A JP 2004207564A JP 2002376009 A JP2002376009 A JP 2002376009A JP 2002376009 A JP2002376009 A JP 2002376009A JP 2004207564 A JP2004207564 A JP 2004207564A
Authority
JP
Japan
Prior art keywords
silicon nitride
nitride film
oxide film
silicon oxide
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002376009A
Other languages
English (en)
Inventor
Hiroyuki Ota
裕之 大田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2002376009A priority Critical patent/JP2004207564A/ja
Priority to TW092132374A priority patent/TWI229410B/zh
Priority to US10/721,080 priority patent/US7208812B2/en
Priority to CNB2003101215093A priority patent/CN1298042C/zh
Publication of JP2004207564A publication Critical patent/JP2004207564A/ja
Priority to US11/268,499 priority patent/US7196396B2/en
Priority to US11/723,246 priority patent/US7759215B2/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI

Abstract

【課題】良好なトランジスタ特性が得られる、STIを用いた半導体装置の製造方法を提供する。
【解決手段】半導体装置の製造方法は、窓を有する第1の窒化シリコン膜を含むパターンをマスクとして、半導体基板をエッチングして素子分離用トレンチを形成する工程と、トレンチの内面を覆うように第2の窒化シリコン膜を堆積する工程と、トレンチを埋め込むように第1の酸化シリコン膜を形成する工程と、トレンチ内上部の第1の酸化シリコン膜をエッチングし、除去する工程と、露出した第2の窒化シリコン膜をエッチングして除去する工程と、トレンチを埋め込むように第2の酸化シリコン膜を形成する工程と、第2の酸化シリコン膜を化学機械研磨する工程と、露出した第1の窒化シリコン膜をエッチングして除去する工程と、を含む。
【選択図】 図3

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置の製造方法と半導体装置に関し、特にシャロートレンチアイソレーション(STI)を有する半導体装置の製造方法と半導体装置に関する。
【0002】
【従来の技術】
半導体装置における素子分離方法の1つとして、局所酸化(local oxidation of silicon、LOCOS)が知られている。
【0003】
局所酸化は、シリコン基板上にバッファ層として酸化シリコン膜を形成した後、窒化シリコン膜を酸化防止マスク層として形成し、窒化シリコン膜をパターニングした後シリコン基板の表面を酸化シリコン膜を介して熱酸化する技術である。
【0004】
シリコン基板を熱酸化する際、酸素、水分等の酸化種が窒化シリコン膜下のバッファ酸化シリコン膜中にも侵入し、窒化シリコン膜下のシリコン基板表面も酸化させ、バーズビークと呼ばれる鳥の嘴状の酸化シリコン領域を形成する。バーズビークの形成された領域は、実質的に素子形成領域(活性領域)として使用できなくなるため、素子形成領域が狭くなる。
【0005】
又、種々の寸法の開口を有する窒化シリコン膜を形成し、基板表面を熱酸化すると、開口部寸法の狭いシリコン基板表面に形成される酸化シリコン膜の厚さは開口部寸法の広いシリコン基板表面に形成される酸化シリコン膜よりも小さくなる。これをシニング(thinning)と呼ぶ。
【0006】
半導体装置の微細化に伴い、バーズビークやシニングにより、半導体基板の全面積中で素子形成領域として使用できない面積が増加する。すなわち、素子形成領域が狭められる割合が増加し、半導体装置の高集積化の妨げとなる。
【0007】
素子分離領域を形成する技術として、半導体基板表面にトレンチを形成し、トレンチ内に絶縁物や多結晶シリコンを埋め込むトレンチアイソレーション(TI)技術が知られている。この方法は、従来、深い素子分離領域を必要とするバイポーラトランジスタLSIに用いられていた。
【0008】
バーズビーク、シニングが共に生じないため、トレンチアイソレーションのMOSトランジスタLSIへの適用が進んでいる。MOSトランジスタLSIでは、バイポーラトランジスタLSI程深い素子分離は必要としないため、深さ0.1〜1.0μm程度の比較的浅い溝で素子分離を行うことができる。この構造をシャロートレンチアイソレーション(STI)と呼ぶ。
【0009】
図15、図16を参照して、STI形成工程について説明する。
図15(A)に示すように、シリコン基板1表面上に、例えば厚さ10nmの酸化シリコン膜2を熱酸化により形成する。この酸化シリコン膜2の上に、例えば厚さ100〜150nmの窒化シリコン膜3を化学気相堆積(CVD)により形成する。酸化シリコン膜2は、シリコン基板1と窒化シリコン膜3の間の応力を緩和するバッファ層として機能する。窒化シリコン膜3は、後の研磨工程においてストッパ層として機能する。
【0010】
窒化シリコン膜3の上に、レジストパターン4を形成する。レジストパターン4の画定する開口部は、素子分離領域を形成する領域を画定する。レジストパターン4下方のシリコン基板の領域は、素子を形成する活性領域となる。
【0011】
レジストパターン4をエッチングマスクとし、開口部に露出した窒化シリコン膜3、その下の酸化シリコン膜2、その下のシリコン基板1をリアクティブイオンエッチング(RIE)により例えば深さ0.5μm程度エッチングし、トレンチ6を形成する。
【0012】
図15(B)に示すように、トレンチ6内に露出したシリコン基板表面を熱酸化し、例えば厚さ10nmの熱酸化シリコン膜7を形成する。
図15(C)に示すように、例えば高密度プラズマ(HDP)CVDにより、トレンチを埋め込んでシリコン基板上に酸化シリコン膜9を形成する。素子分離領域となる酸化シリコン膜9を緻密化するために、例えば窒素雰囲気中900〜1100℃でシリコン基板をアニールする。
【0013】
図15(D)に示すように、窒化シリコン膜3をストッパとし、上方から化学機械研磨(CMP)又はリアクティブイオンエッチング(RIE)により、酸化シリコン膜9を研磨する。窒化シリコン膜3によって画定されるトレンチ内にのみ、酸化シリコン膜9が残る。この段階で、酸化シリコン緻密化用のアニールを行なってもよい。
【0014】
図16(E)に示すように、熱リン酸を用いて窒化シリコン膜3を除去する。
次に、希フッ酸を用いて、シリコン基板1表面上のバッファ酸化シリコン膜2を除去する。この時、トレンチを埋め込む酸化シリコン膜9もエッチングされる。
【0015】
図16(F)に示すように、シリコン基板1の表面を熱酸化し、表面に犠牲酸化シリコン膜22を形成する。犠牲酸化シリコン膜を介してシリコン基板1表面層に所望導電型の不純物をイオン注入し、活性化してシリコン基板1に所望導電型のウエル領域10を形成する。その後希フッ酸を用い、犠牲酸化シリコン膜22は除去する。犠牲酸化シリコン膜を除去する際、希フッ酸により酸化シリコン膜9もエッチングされる。
【0016】
図16(G)に示すように、露出したシリコン基板の表面を熱酸化し、所望厚さの酸化シリコン膜11を形成し、ゲート絶縁膜とする。シリコン基板1上に多結晶シリコン膜12を堆積し、パターニングしてゲート電極を形成する。ウェル領域10と逆導電型の不純物をイオン注入し、活性化してソース/ドレイン領域を形成する。必要に応じ、ゲート電極側壁上にサイドウォールスペーサを形成し、再度逆導電型の不純物をイオン注入し、活性化して高濃度ソース/ドレイン領域を形成する。
【0017】
トレンチ内に酸化シリコンを埋め込み、緻密化のための熱処理を行なうと、酸化シリコン膜9は緻密化すると共に収縮し、この酸化シリコン膜9で囲まれた素子形成領域は圧縮ストレスを受ける。
【0018】
圧縮ストレスが印加されると、シリコン基板1の活性領域における電子の移動度が大きく低下し得る。このため、飽和ドレイン電流が低下する。素子の微細化に伴い、活性領域が小さくなると、圧縮ストレスの影響は大きくなる。
【0019】
図16(G)に示すように、素子分離領域9の肩部がエッチングされてディボットが形成されると、シリコン基板の素子形成領域肩部が上面のみならず側面からもゲート電極で囲まれる。このような形状となると、素子形成領域の肩部は、ゲート電極に電圧を印加すると、電界集中を受け、より低い閾値電圧を有するトランジスタを形成する。この寄生トランジスタは、IV特性にハンプ特性を発生させる。
【0020】
ディボットの発生を減少させ、ハンプ特性を防止するために、トレンチ内面上に酸化シリコン膜を介して窒化シリコン膜を形成し、一旦トレンチ内にマスク材料を充填し、トレンチ内のマスク材料の表面レベルが半導体基板の表面レベルより下になるようにエッチングし、露出したトレンチ内面上部の窒化シリコン膜を除去する方法が提案されている。
【0021】
【特許文献1】
特開平11−297812号公報
【0022】
【発明が解決しようとする課題】
STIによる素子分離は、微細化に適しているが、STI独自の問題も生じる。STI独自の問題を低減することのできる新たな技術が求められている。
【0023】
本発明の目的は、良好なトランジスタ特性が得られる、STIを用いた半導体装置の製造方法を提供することである。
本発明の他の目的は、良好なトランジスタ特性を有する半導体装置を提供することである。
【0024】
【課題を解決するための手段】
本発明の1観点によれば、(a)半導体基板表面に素子分離用トレンチ形成のための窓を有する第1の窒化シリコン膜を含むパターンを形成する工程と、(b)前記パターンをマスクとして、前記半導体基板をエッチングして素子分離用トレンチを形成する工程と、(c)前記素子分離用トレンチの内面を覆うように第2の窒化シリコン膜を堆積する工程と、(d)前記第2の窒化シリコン膜を覆い、トレンチを埋め込むように第1の酸化シリコン膜を形成する工程と、(f)前記第1の酸化シリコン膜をエッチングし、トレンチ内上部の前記第1の酸化シリコン膜を除去する工程と、(g)露出した前記第2の窒化シリコン膜をエッチングして除去する工程と、(h)前記トレンチを埋め込むように第2の酸化シリコン膜を形成する工程と、(i)前記第1の窒化シリコン膜をストッパとして、前記第2の酸化シリコン膜を化学機械研磨する工程と、(j)露出した前記第1の窒化シリコン膜をエッチングして除去する工程と、を含む半導体装置の製造方法が提供される。
【0025】
本発明の他の観点によれば、半導体基板と、前記半導体基板表面から内部に形成された素子分離用トレンチと、前記半導体基板表面から引き下り、前記トレンチ内面の下部を覆うように形成された窒化シリコン膜のライナーと、前記窒化シリコン膜のライナーで囲まれた領域に形成され、トレンチの下部を埋める第1の酸化シリコン膜と、前記第1の酸化シリコン膜の上に形成されトレンチの上部を埋める第2の酸化シリコン膜と、を有する半導体装置が提供される。
【0026】
本発明のさらに他の観点によれば、半導体基板と、前記半導体基板表面から内部に形成された素子分離用トレンチと、前記半導体基板表面から引き下り、前記トレンチ内面の下部を覆うように形成された窒化シリコン膜のライナーと、前記窒化シリコン膜のライナーで囲まれた領域にボイドを形成して、トレンチを埋める酸化シリコン膜と、を有する半導体装置が提供される。
【0027】
【発明の実施の形態】
本発明者は、トレンチ内に窒化シリコン膜のライナー層を設け、引張り応力を発生させることにより酸化シリコン膜の圧縮応力を相殺する方法を研究している。トレンチ内面に窒化シリコン膜のライナーを形成した後、半導体基板表面から一定距離の窒化シリコン膜を除去することにより、ドレイン電流がどのように変化するか、又窒化シリコン膜の厚さにより、トレイン電流がどのように変化するかを調べた。
【0028】
図1(A)は、半導体基板表面からの窒化シリコン膜の引下り量であるリセス量rによる、ドレイン電流Idの変化をシュミュレーションで求めた結果を示すグラフである。なお、窒化シリコン膜の膜厚は100nmとし、ゲート電圧Vgは1.2V、ドレイン電圧Vdは0.01Vとした。
【0029】
図から明らかなように、リセス量rを0から増大して行くと、ドレイン電流Idは上昇する。リセス量rの増大と共に、ドレイン電流Idの増加は飽和し、その後減少する。このように、リセス量rの変化に対し、ドレイン電流Idはピーク特性を有する。リセス量rが80〜150nmの時、ドレイン電流Idは最も大きい。
【0030】
図1(B)は、窒化シリコン膜厚の変化に対するドレイン電流Idの変化をシュミレーションで求めた結果を示すグラフである。なお、リセス量rは80 nmとし、ゲート電圧Vgは1.2V、ドレイン電圧Vdは0.01Vとした。図から明らかなように、窒化シリコン膜の厚さが増大するにつれ、ドレイン電流Idは増加している。すなわち、窒化シリコン膜のライナー厚は厚いほどドレイン電流を向上させる効果が認められる。トレンチの幅が100nm以下となると、ライナーとして用いる窒化シリコン膜の厚さは20〜40nmが好ましいであろう。
【0031】
これらのシュミュレーション結果に基づき、トレンチ内面に窒化シリコン膜のライナーを形成し、トレンチ上部における窒化シリコン膜ライナーを除去し、ドレイン電流の増大を実現する実施例を以下に説明する。
【0032】
図2(A)〜図4(J)は、本発明の第1の実施例によるSTI素子分離領域の製造方法を概略的に示す断面図である。
図2(A)に示すように、シリコン基板1の表面上に、厚さ3〜21nm、例えば厚さ10nmの酸化シリコン膜2を熱酸化により形成する。酸化シリコン膜2の上に、厚さ100〜150nm、例えば厚さ100nmの窒化シリコン膜3を低圧(LP)化学気相堆積(CVD)により形成する。LPCVDは、例えばソースガスとしてSiCl22とNH3を用い、温度700℃で行う。
【0033】
窒化シリコン膜3の上に、レジスト膜を塗布し、露光現像することによりレジストパターン4を形成する。レジストパターン4は、活性領域(素子形成領域)上に形成され、開口部が素子分離領域を画定する。開口部の幅は、0.1〜5μm、例えば0.1μmである。
【0034】
レジストパターン4をエッチングマスクとし、窒化シリコン膜3、酸化シリコン膜2、シリコン基板1をエッチングする。シリコン基板1は、例えば深さ0.3μmエッチングされてトレンチ6を形成する。なお、窒化シリコン膜、酸化シリコン膜のエッチングは、CF4、CHF3、Arの混合ガスをエッチングガスとして用いる。シリコン基板1のエッチングは、HBr、O2の混合ガス、又はCl2、O2の混合ガスをエッチングガスとして用いる。
【0035】
このエッチング条件によれば、形成されるトレンチ6の側面が傾斜する。傾斜を設けることにより、活性領域の肩部における電界集中を緩和させることができる。その後レジストパターン4は除去する。
【0036】
図2(B)に示すように、トレンチ6の表面に露出したシリコン基板表面を熱酸化して厚さ1〜20nm、例えば厚さ10nmの酸化シリコン膜7を形成する。トレンチ6内に露出していたシリコン表面は、全て酸化シリコン膜7により覆われる。
【0037】
図2(C)に示すように、酸化シリコン膜7、窒化シリコン膜3の表面を覆うように、窒化シリコン膜8をLPCVDにより形成する。窒化シリコン膜8の厚さは、20〜40nm、たとえば20nmとする。窒化シリコン膜は厚いほど強い引張り応力を発生する。トレンチの幅との関係で最大厚さは決まる。
【0038】
LPCVDは、SiCl22、NH3の混合ガスをソースガスとし、温度650℃程度で行う。このような熱CVDにより形成される窒化シリコン膜は、1GPa以上の引張り(tensile)応力を有する。この応力は、後述する緻密化の熱処理を行った埋設酸化シリコン膜の応力と逆方向である。
【0039】
図2(D)に示すように、窒化シリコン膜8を形成した基板上に例えば誘導結合プラズマCVD装置を用いた高密度プラズマ(HDP)CVDにより、酸化シリコン膜9aを形成し、トレンチ内を埋め込む。HDP酸化シリコン膜の形成は、SiH4と酸素の混合ガス、又はTEOSとオゾンの混合ガスをソースガスとして行う。なお、酸化シリコン膜9aの厚さは、トレンチを完全に埋めるように選択する。CVDによる酸化シリコン膜の形成に代え、スピンオンガラス(SOG)の塗布型酸化シリコン膜を用いることも可能であろう。
【0040】
図3(E)に示すように、化学機械研磨(CMP)を行い、窒化シリコン膜8上の酸化シリコン膜9aを一部除去し、窒化シリコン膜8の上方20〜100nmで止め、平坦な表面を形成する。例えば、窒化シリコン膜8の表面までCMPを行い、窒化シリコン膜8をCMPのストッパとして機能させる。
【0041】
CMPは、回転する上下の定盤の間にシリコン基板を挟んで行う。上下の定盤の回転速度を例えばそれぞれ20rpm、上下の定盤間の圧力を例えば5psi、バックプレッシャーを例えば5psiとし、研磨剤としてコロイダルシリカを主成分とするスラリ、又は酸化セリウム系スラリを用いる。このような研磨条件の場合、窒化シリコン膜3のエッチングレートは小さく、窒化シリコン膜3が研磨のストッパとして機能する。
【0042】
酸化シリコン膜9の成長後、または化学機械研磨工程後、例えば約1000℃、30分のアニールを行ない、酸化シリコン膜9の粗密差を解消する。酸化シリコン膜9aが均質化し、エッチング特性も均質化する。
【0043】
図3(F)に示すように、例えば希フッ酸で2000秒のエッチングを行い、トレンチ上部の酸化シリコン膜9aを除去する。液相エッチングにより、酸化シリコン膜9aの表面が平坦性を保ちつつ、沈み込んでいく。なお、液相エッチングに代え、例えばCF系ガスを用いた化学ドライエッチング(CDE)を用いてもよい。
【0044】
なお、化学機械研磨工程は必須の工程ではない。例えば、平坦化機能を有する酸化シリコン膜を成膜し、化学機械研磨はせず、エッチングを行うこともできる。リアクティブイオネッチング(RIE)で窒化シリコン膜8上の酸化シリコン膜9aをエッチングした後、トレンチ内の酸化シリコン膜9aをCDEでエッチングすることもできる。リセス量のバラツキが若干増加しても、工程が簡単化でき、製造コストを低減化できる。
【0045】
図3(E)、(F)の工程で、酸化シリコン膜9aが除去された領域では、窒化シリコン膜8が露出する。
図3(G)に示すように、露出した窒化シリコン膜8を燐酸(H3PO4)ボイルによりエッチングする。厚さ20nmのライナー窒化シリコン膜8が完全にエッチングされ、マスク用の窒化シリコン膜3は未だほとんどエッチングされていない状態を示す。窒化シリコンが除去された、シリコン基板1表面からのリセス量rは、主としてその前の酸化シリコン膜9aのエッチング深さによって制御される。深さ300nmのトレンチの場合、例えばシリコン基板1表面から深さ110nmまでの窒化シリコン膜8を除去する。
【0046】
ライナー用窒化シリコン膜8をシリコン基板表面から80〜150nm引き下げることにより、MOSトランジスタのドレイン電流増加が期待できる。
図4(H)に示すように、例えば厚さ300nmの酸化シリコン膜9bを前述同様のHDPCVDにより堆積し、トレンチを埋め込む。窒化シリコン膜3表面上にも酸化シリコン膜9bが堆積する。
【0047】
図3(I)に示すように、前述同様のCMPを行い、窒化シリコン膜3上の酸化シリコン膜9bを除去する。窒化シリコン膜3がCMPのストッパとして機能する。なお、窒化シリコン膜3上の酸化シリコン膜9をCMPで除去する場合を説明したが、CF4とCHF3の混合ガスを用いたRIEを用いてもよい。
【0048】
不要な酸化シリコン膜を除去した後、たとえば1000℃、30分のアニールを行い、酸化シリコン膜9Bを緻密化する。既にアニールを受けている酸化シリコン膜9aもさらに緻密化する。なお、CMP前にアニールを行ってもよい。
【0049】
アニールを経たトレンチ内の酸化シリコン膜9の膜質は熱酸化膜とほぼ同じになる。緻密化された酸化シリコン膜は、圧縮応力を生じるが、この圧縮応力と窒化シリコン膜の引張り応力は逆方向であり、圧縮応力は引張り応力により相殺される。圧縮応力による移動度の低下が低減される。
【0050】
図4(J)に示すように、マスク用窒化シリコン膜3を前述同様の燐酸(H3PO4)ボイルにより除去する。ライナー用窒化シリコン膜8は、シリコン基板1表面から引き下げられ、酸化シリコン膜9で覆われているのでエッチングされない。ライナー用窒化シリコン膜がエッチングされることによるディボットの発生は防止される。このようにして、STI素子分離領域が形成される。その後、レジストマスクを用いてイオン注入を行い、nウェルWn、pウェルWpを形成する。酸化シリコン膜2を除去し、新たな犠牲酸化膜を形成してからイオン注入を行ってもよい。その後、通常の工程により、MOSトランジスタなどの半導体素子を形成する。
【0051】
図5(A)は、素子分離領域9により画定された活性領域AR1、AR2と、シリコン基板表面表面に形成されたゲート電極12の形状を示す平面図である。
ゲート電極構造はサイドウオールスペーサ形成前の形状である。図4(B)は、図4(A)のIVB−IVB線に沿う断面図を示す。各活性領域ARは素子分離領域9により囲まれている。2つの活性領域AR1,AR2によりCMOSインバータが構成される。nチャネルMOSトランジスタ、pチャネルMOSトランジスタが形成されるが、nチャネルMOSトランジスタを例にとって説明する。
【0052】
図5(B)に示すように、各活性領域上に、熱酸化により例えば厚さ2nmのゲート絶縁膜11が形成され、その上に化学気相体積(CVD)により例えば厚さ100nmの多結晶シリコン層12が形成される。多結晶シリコン層上にホトレジストマスクを形成し、リアクティブイオンエッチング(RIE)により多結晶シリコン層12をパターニングして例えばゲート長80nmのゲート電極Gを形成する。
【0053】
pチャネル領域をレジストマスクで覆い、p型ウェルWpと逆導電型のn型不純物のイオン注入を行い、浅い低濃度n型エクステンション領域Exを形成する。必要に応じてこの後、ウェルと同導電型のp型不純物を例えば基板法線から28度傾いた4方向から斜めイオン注入し、p型ポケット領域Ptを形成する。pチャネル領域に対しては、導電型を反転した処理が行われる。
【0054】
基板上に酸化シリコン膜、又は酸化シリコン膜と窒化シリコン膜の積層を堆積し、RIEで異方性エッチングし、サイドウォールスペーサSWを形成する。pチャネル領域をレジストマスクで覆い、pウエルと逆導電型のn型不純物を高濃度にイオン注入し、高濃度n型ソース/ドレイン領域S/Dを形成する。pチャネル領域に対しては導電型を反転した処理が行われる。
【0055】
コバルト、又はチタンの金属層を堆積し、例えば2段階シリサイド反応を生じさせて、シリサイド層13を形成する。このようにして、MOSトランジスタが形成される。なお、MOSトランジスタの形成方法は、他の公知の方法を用いてもよい。
【0056】
第1の実施例においては、窒化シリコン膜ライナー8を酸化シリコン膜中に埋め込むことにより、ストッパ用の窒化シリコン膜3のエッチング時におけるディボットの発生は防止された。しかしながら、酸化シリコン膜除去の際STI埋め込み用酸化シリコン膜9のエッチングは避けられず、このことに起因するディボットの発生が生じ得る。
【0057】
図6(A)〜(D)は、酸化シリコン膜エッチング時にディボットの発生を防止し得る第2の実施例を示す。
先ず、第1の実施例における図2(A)〜図3(G)までの工程を同様に行う。
【0058】
図6(A)に示すように、例えば厚さ20nmの窒化シリコン膜8のライナーのエッチングにおいて、例えば厚さ10nm分のオーバーエッチング(厚さ30nmに相当する全エッチング量)を行なう。オーバーエッチングにより、窒化シリコン膜8が残った酸化シリコン膜9aの表面からさらに下方にエッチングされると共に、酸化シリコン膜2上のストッパ用窒化シリコン膜3も上面及び側面がエッチングされる。すなわち、窒化シリコン膜3の側面は、活性領域1の肩から例えば10nm後退する。
【0059】
図6(B)に示すように、第2の酸化シリコン膜9bを第1の実施例同様に堆積する。
図6(C)に示すように、第2の酸化シリコン膜9bをCMPすることにより、窒化シリコン膜3上の酸化シリコン膜9bを除去する。なお、窒化シリコン膜は、このCMP工程において、ストッパーとしての機能が果たせるように、初期の厚さを選択することが好ましい。
【0060】
図6(D)に示すように、燐酸ボイルにより窒化シリコン膜3を除去する。活性領域の肩部Shは、埋込用酸化シリコン膜9によって覆われている。酸化シリコン膜2を希フッ酸で除去しても、酸化シリコン膜9が若干エッチされるが、活性領域の肩部Shの外側にディボットが形成されることは防止できる。
【0061】
別の観点から言えば、図6(A)に示すオーバーエッチングの量は、その後に行なわれる希フッ酸処理によるエッチング量の総和よりも大きめに設定することが好ましい。例えば、厚さ2nmの酸化シリコンをエッチングする工程が2回ある場合、オーバーエッチング量も考慮すると、6nm〜12nm側面を後退させることが好ましいであろう。
【0062】
図7(A)〜図9(J)は、第3の実施例によるSTIの製造方法を示す。
図7(A)に示すように、シリコン基板1の表面を酸化して酸化シリコン膜2を形成し、その上に窒化シリコン膜3を形成する。これらの工程は、第1の実施例と同様である。さらに、窒化シリコン膜3の上に、例えば厚さ約50nmの酸化シリコン膜5をLPCVDにより成膜する。酸化シリコン膜5の上に、レジストパターンを形成し、酸化シリコン膜5、窒化シリコン膜3、酸化シリコン膜2をエッチングし、さらにシリコン基板1を例えば深さ300nmエッチングしてトレンチ6を形成する。
【0063】
図7(B)に示すように、トレンチ6内に露出したシリコン基板1表面を熱酸化し、例えば厚さ5nmの酸化シリコン膜7を形成する。
図7(C)に示すように、酸化シリコン膜7を形成したトレンチ内面を覆うように、ライナー用窒化シリコン膜8を例えばLPCVDにより厚さ約20nm形成する。
【0064】
図7(D)に示すように、トレンチ内を埋め込むように、酸化シリコン膜9aをHDPCVDにより成膜する。
図8(E)に示すように、窒化シリコン膜8上の酸化シリコン膜8aをCMPで研磨して平坦な表面を形成し、酸化シリコン膜5上方20〜100nmの位置で停止させる。例えば、窒化シリコン膜8の表面を露出させる。
【0065】
図8(F)に示すように、酸化シリコン膜9aを希フッ酸によりエッチングし、シリコン基板1表面から例えば110nmのレベルまで沈み込ませる。
図8(G)に示すように、燐酸ボイルによりライナー用窒化シリコン膜8の露出部分をエッチングする。窒化シリコン膜8は、トレンチ下部にのみ残る。窒化シリコン膜8のシリコン基板1表面からのリセス量は、酸化シリコン膜9aのエッチング量によって制御される。
【0066】
図9(H)に示すように、窒化シリコン膜8がトレンチ下部にのみ残された状態において、酸化シリコン膜9bを例えば厚さ300nmHDPCVDにより成膜する。トレンチ内は酸化シリコン膜9bにより埋め戻される。
【0067】
図9(I)に示すように、CMPにより酸化シリコン膜9b、5を研磨する。
このCMPにおいて、窒化シリコン膜3がストッパとして機能する。その後、例えば1000℃、30分間のアニールを行い、酸化シリコン膜9を緻密化する。
【0068】
図9(J)に示すように、燐酸ボイルによりストッパ用窒化シリコン膜3を除去する。窒化シリコン膜3が除去された表面は、全て酸化シリコン膜で覆われており、ライナー用窒化シリコン膜がエッチングされてディボットが発生することは防止される。
【0069】
第3の実施例においては、ストッパ用窒化シリコン膜のエッチングにおいてディボットの発生は防止されるが、酸化シリコン膜のエッチングにおいて埋め込み用酸化シリコン膜がエッチングされ、ディボットが発生することは有り得る。
【0070】
図10は、窒化シリコン膜3を後退させることにより、酸化シリコン膜のエッチング時にディボットが発生することを低減することのできる第4の実施例を示す。
【0071】
先ず、図7(A)〜図8(G)までの工程を同様に行なう。
図10(A)に示すように、窒化シリコン膜8のエッチングをさらに続け、オーバーエッチングする。例えば、厚さ20nmの窒化シリコン膜8に対して厚さ30nm分のエッチングを行う。窒化シリコン膜3の側面が約10nm後退する。
【0072】
図10(B)に示すように、酸化シリコン膜9bをHDPCVDにより成膜する。
図10(C)に示すように、酸化シリコン膜9b、5をCMPにより除去する。このCMPにおいて、窒化シリコン膜3がストッパとして機能する。
【0073】
図10(D)に示すように、燐酸ボイルにより窒化シリコン膜3を除去する。
図10(A)の工程において、窒化シリコン膜3の側面を後退させたため、図10(D)の状態において、埋め込み用酸化シリコン膜9は、活性領域の肩部Shの端部を幅約10nm覆っている。このため、その後の希フッ酸による酸化シリコン膜エッチング工程において、埋め込み用酸化シリコン膜9がエッチされ、ディボットが形成されることを防止できる。
【0074】
図11(A)〜(D)は、第5の実施例によるSTIの領域の製造方法を示す。
先ず、第1の実施例同様図2(A)〜図3(G)の工程を行なう。
【0075】
図11(A〉において、窒化シリコン膜8の上部をエッチングした後、希フッ酸で約2000秒間のエッチングを行ない、窒化シリコン膜8に囲まれた酸化シリコン膜9aを除去する。なお、ストッパ用窒化シリコン膜3の下に形成したバッファ用酸化シリコン膜2の側面もサイドエッチされる。
【0076】
図11(B)に示すように、酸化シリコン膜9を例えば厚さ300nmHDPCVDにより成膜する。この成膜工程において、条件を調節して埋込み特性を劣化させることにより、窒化シリコン膜8で囲まれた狭い空間においてはボイドVが発生するように制御する。トレンチの幅が狭くなると、トレンチ内を完全に埋め込むことが困難になる。本実施例は、トレンチ内の埋め込みが困難になる点を積極的に利用するものである。例えば、シリコン基板1表面から深さ150nm程度の深さにおいてボイドVが形成される。
【0077】
図11(C)に示すように、窒化シリコン膜3上の酸化シリコン膜9をCMPにより除去し、表面を平坦化する。1000℃、30分程度のアニールを行い、酸化シリコン膜9を緻密化する。トレンチ下部にボイドVが形成されていても、アニールされた酸化シリコン膜9は緻密化され、素子分離領域として十分な性能を果たす。
【0078】
図11(D)に示すように、燐酸ボイルにより窒化シリコン膜3を除去する。
本実施例においては、窒化シリコン膜8よりも上側の素子分離領域は、1回の製膜により形成された酸化シリコン膜9で形成されている。酸化シリコン膜9の下部にはボイドVが存在する。ボイドVの存在により、緻密化された酸化シリコン膜9の圧縮応力が低減する。圧縮応力の低減により、窒化シリコン膜8の引張り応力による効果が増大する。
【0079】
図12(A)〜(D)は、第6の実施例によるSTI領域の製造方法を示す。第2の実施例同様、図3(G)の窒化シリコン膜エッチング工程において、図6(A)に示すようにオーバーエッチングを行なう。活性領域表面上の窒化シリコン膜3もエッチングされ、その側面は後退する。
【0080】
その後、第5の実施例と同様の工程を行なう。
図12(A)に示すように、窒化シリコン膜8に囲まれた領域に残った酸化シリコン膜を約2000秒の希フッ酸処理により除去する。
【0081】
図12(B)に示すように、酸化シリコン膜9aを除去したトレンチ内に、酸化シリコン膜9を成膜し、窒化シリコン膜8で囲まれたトレンチ下部にボイドVを形成してトレンチ内を酸化シリコン膜9で埋め込む。
【0082】
図12(C)に示すように、CMPを行ない、窒化シリコン膜3上の酸化シリコン膜9を除去する。
図12(D)に示すように、燐酸ボイルにより窒化シリコン膜3を除去する。図に示すように、活性領域の肩部Shは、酸化シリコン膜9で覆われた形状となる。その後行なわれる希フッ酸による酸化シリコン膜のエッチングにおいて、酸化シリコン膜9がエッチされても、STI端部におけるディボットの発生を防止できる。
【0083】
図13は、ライナー用窒化シリコン膜をシリコン基板表面から沈み込ませる構成において、ドレイン電流がどのように変化するかをサンプルによって調べた結果を示す。
【0084】
図13(A)は、サンプルの平面形状を示す。活性領域の幅Lは1μmであり、ゲート電極のゲート長は1μmである。ソース/ドレイン領域の電流方向の幅Wを0.3〜5μmで変化させた。断面構成は、実施例のようにSTI構造の窒化シリコン膜を基板表面から沈み込ませ(リセスさせ)、リセス量の異なるサンプルを形成し、活性領域にMOSトランジスタを形成したものである。
【0085】
図13(B)は、電流方向のソース/ドレイン領域の幅Wを0.3μmに設定したサンプルの測定結果である。図中横軸はMOSトランジスタがオン状態のドレイン電流Ionを示し、縦軸はMOSトランジスタがオフ状態のドレイン電流Ioffを示す。
【0086】
「ライナー無し」は、窒化シリコン膜のライナーを形成しなかったサンプルを示す。「リセス0nm」は、窒化膜ライナーをリセスしなかったサンプルである。
「リセス50nm」、「リセス100nm」は、シリコン基板表面から窒化シリコン膜をそれぞれ50nm、100nmリセスさせたサンプルである。
【0087】
図から明らかなように、ライナーを設けることによりオン電流Ionは増加しており、さらにリセスの増大と共にオン電流Ionが増加している。
図13(C)、(D)、(E)は、それぞれソース/ドレイン領域の幅Wを0.5μm、1μm、5μmに設定したサンプルの測定結果を示す。
【0088】
ソース/ドレイン領域の幅Wが増大するにつれ、ライナーの効果は減少しているように観察される。しかしながら、窒化膜ライナーを設けることにより、明かにドレイン電流Ionは増大している。さらに、リセス量依存性も見られる。これらの結果から、図1に示した解析がほぼ正しいことが類推される。
【0089】
図14は、上述の実施例により形成したMOSトランジスタを含む集積回路装置の構成を示す断面図である。シリコン基板1表面に、素子分離用トレンチが形成され、シャロートレンチアイソレーション(STI)9が形成されている。STIにより画定された活性領域内にトランジスタTR1、TR2が形成されている。これらのSTI、トランジスタは、上述の実施例に従って形成したものである。
【0090】
トランジスタを埋め込むように、第1層間絶縁膜IL1が形成され、導電性プラグPL、第1配線層W1が層間絶縁膜IL1中に埋め込まれて形成されている。第1配線層W1上に窒化シリコン膜等のエッチストッパ層ES1が形成され、その上に第2層間絶縁膜IL2が形成されている。第2層間絶縁膜IL2、エッチングストッパ層ES1を通って、第2配線層W2がダマシン構造により形成されている。
【0091】
同様、第2層間絶縁膜IL2上に、エッチストッパ層ES2、その上に層間絶縁膜IL3、エッチストッパ層ES3、層間絶縁膜IL4、エッチストッパ層ES4、層間絶縁膜IL5、エッチストッパ層ES5、層間絶縁膜IL6、エッチストッパ層ES6が積層され、その上にさらに保護膜PSが形成されている。これらの絶縁積層を通って、配線層W3、W4、W5、W6が形成され、最表面には、接続パッドPDが接続されている。
【0092】
以上実施例に沿って本発明を説明したが、本発明はこれらに制限されるものではない。例えば種々の変更、改良、組合わせが可能なことは当業者に自明であろう。以下、本発明の特徴を付記する。
【0093】
(付記1) (a)半導体基板表面に素子分離用トレンチ形成のための窓を有する第1の窒化シリコン膜を含むパターンを形成する工程と、
(b)前記パターンをマスクとして、前記半導体基板をエッチングして素子分離用トレンチを形成する工程と、
(c)前記素子分離用トレンチの内面を覆うように第2の窒化シリコン膜を堆積する工程と、
(d)前記第2の窒化シリコン膜を覆い、トレンチを埋め込むように第1の酸化シリコン膜を形成する工程と、
(f)前記第1の酸化シリコン膜をエッチングし、トレンチ内上部の前記第1の酸化シリコン膜を除去する工程と、
(g)露出した前記第2の窒化シリコン膜をエッチングして除去する工程と、
(h)前記トレンチを埋め込むように第2の酸化シリコン膜を形成する工程と、
(i)前記第1の窒化シリコン膜をストッパとして、前記第2の酸化シリコン膜を化学機械研磨する工程と、
(j)露出した前記第1の窒化シリコン膜をエッチングして除去する工程と、を含む半導体装置の製造方法。
【0094】
(付記2)(2) さらに、
(e)前記工程(f)の前に、前記第2の窒化シリコン膜上の前記第1の酸化シリコン膜を化学機械研磨し、平坦な表面を形成する工程、
を含む付記1記載の半導体装置の製造方法。
【0095】
(付記3) 前記工程(f)は、希フッ酸またはCFを含むガスを用いた化学ドライエッチングを用いて行われる付記1記載の半導体装置の製造方法。
(付記4) 前記工程(g)は、熱燐酸を用いて行われる付記1記載の半導体装置の製造方法。
【0096】
(付記5)(3) 前記工程(g)は、前記半導体基板表面から80〜150nmまでの前記第2の窒化シリコン膜を除去する付記1記載の半導体装置の製造方法。
【0097】
(付記6) 前記第2の窒化シリコン膜の厚さが、20〜40nmである付記1記載の半導体装置の製造方法。
(付記7)(4) さらに、
(k)前記工程(d)の後、アニールして前記第1の酸化シリコン膜の粗密差を減少させる工程、
を含む付記1記載の半導体装置の製造方法。
【0098】
(付記8)(5) さらに、
(k)前記工程(h)の後、アニールして前記第2の酸化シリコン膜を緻密化する工程、
を含む付記1記載の半導体装置の製造方法。
【0099】
(付記9)(6) 前記工程(g)が、露出した前記第2の窒化シリコン膜をエッチングした後、さらにオーバーエッチを行い、活性領域上の前記第1の窒化シリコン膜の側面を後退させると共に、上面も低下させる付記1記載の半導体装置の製造方法。
【0100】
(付記10) 前記側面の後退量は、6nm〜12nmである付記8記載の半導体装置の製造方法。
(付記11)(7) 前記工程(a)が、前記第1の窒化シリコン膜の上にエッチング特性の異なるカバー層を積層し、前記工程(g)が、露出した前記第2の窒化シリコン膜をエッチングした後、さらにオーバーエッチを行い、活性領域上の前記第1の窒化シリコン膜の上面は低下させずに、側面を後退させる付記1記載の半導体装置の製造方法。
【0101】
(付記12) 前記カバー層が酸化シリコン層である付記10記載の半導体装置の製造方法。
(付記13) 前記工程(h)が、トレンチ下部に前記第1の酸化シリコン膜を残したまま、その上に第2の酸化シリコン膜を形成する付記1記載の半導体装置の製造方法。
【0102】
(付記14)(8) さらに、
(l)前記工程(g)の後、前記工程(h)の前にトレンチ下部に残っている前記第1の酸化シリコン膜を除去する工程、
を含み、前記工程(h)が、前記第2の窒化シリコン膜で囲まれた領域にボイドを形成するように前記第2の酸化シリコン膜を形成する付記1記載の半導体装置の製造方法。
【0103】
(付記15)(9) 半導体基板と、
前記半導体基板表面から内部に形成された素子分離用トレンチと、
前記半導体基板表面から引き下り、前記トレンチ内面の下部を覆うように形成された窒化シリコン膜のライナーと、
前記窒化シリコン膜のライナーで囲まれた領域に形成され、トレンチの下部を埋める第1の酸化シリコン膜と、
前記第1の酸化シリコン膜の上に形成されトレンチの上部を埋める第2の酸化シリコン膜と、
前記トレンチに囲まれた活性領域と、
を有する半導体装置。
【0104】
(付記16) 前記窒化シリコンのライナーが、基板表面から80nm〜150nm沈み込んでいる付記15記載の半導体装置。
(付記17) 前記窒化シリコンのライナーが、厚さ20nm〜40nmを有する付記15記載の半導体装置。
【0105】
(付記18) 前記第2の酸化シリコン膜が、前記活性領域端部を覆う付記15記載の半導体装置。
(付記19) 前記トレンチの幅が100nm以下である付記15記載の半導体装置。
【0106】
(付記20)(10) 半導体基板と、
前記半導体基板表面から内部に形成された素子分離用トレンチと、
前記半導体基板表面から引き下り、前記トレンチ内面の下部を覆うように形成された窒化シリコン膜のライナーと、
前記窒化シリコン膜のライナーで囲まれた領域にボイドを形成して、トレンチを埋める酸化シリコン膜と、
前記トレンチに囲まれた活性領域と、
を有する半導体装置。
【0107】
(付記21) 前記窒化シリコンのライナーが、基板表面から80nm〜150nm沈み込んでいる付記20記載の半導体装置。
(付記22) 前記窒化シリコンのライナーが、厚さ20nm〜40nmを有する付記20記載の半導体装置。
【0108】
(付記23) 前記第2の酸化シリコン膜が、前記活性領域端部を覆う付記20記載の半導体装置。
(付記24) 前記トレンチの幅が100nm以下である付記20記載の半導体装置。
【0109】
【発明の効果】
以上説明したように、本発明によれば、窒化シリコン膜の引張り応力により、ドレイン電流を増大させることができる。
【0110】
さらに、活性領域側部のディボット発生を防止することにより、寄生トランジスタの発生を低減することも可能である。
【図面の簡単な説明】
【図1】本発明者のシミュレーションによる解析結果を示すグラフである。
【図2】第1の実施例によるSTI製造方法を示す断面図である。
【図3】第1の実施例によるSTI製造方法を示す断面図である。
【図4】第1の実施例によるSTI製造方法を示す断面図である。
【図5】活性領域に形成した半導体素子の構成を示す平面図及び断面図である。
【図6】第2の実施例によるSTI製造方法を示す断面図である。
【図7】第3の実施例によるSTI製造方法を示す断面図である。
【図8】第3の実施例によるSTI製造方法を示す断面図である。
【図9】第3の実施例によるSTI製造方法を示す断面図である。
【図10】第4の実施例によるSTI製造方法を示す断面図である。
【図11】第5の実施例によるSTI製造方法を示す断面図である。
【図12】第6の実施例によるSTI製造方法を示す断面図である。
【図13】サンプルによる測定結果を示すグラフである。
【図14】半導体集積回路装置の構成を概略的に示す断面図である。
【図15】従来技術によるSTI製造方法を示す断面図である。
【図16】従来技術によるSTI製造方法を示す断面図である。
【符号の説明】
1 半導体(シリコン)基板
2 酸化シリコン膜
3 窒化シリコン膜
4 レジストパターン
6 トレンチ
7 酸化シリコン膜(ライナー)
8 窒化シリコン膜(ライナー)
9 酸化シリコン膜(素子分離領域)
10 ウエル
11 ゲート酸化膜
12 多結晶シリコン層
r リセス量
V ボイド
AR 活性領域
Sh 活性領域の肩部
G ゲート電極
S/D ソース/ドレイン領域
Pt ポケット領域
W ウェル
Ex エクステンション領域
SW サイドウォールスペーサ

Claims (10)

  1. (a)半導体基板表面に素子分離用トレンチ形成のための窓を有する第1の窒化シリコン膜を含むパターンを形成する工程と、
    (b)前記パターンをマスクとして、前記半導体基板をエッチングして素子分離用トレンチを形成する工程と、
    (c)前記素子分離用トレンチの内面を覆うように第2の窒化シリコン膜を堆積する工程と、
    (d)前記第2の窒化シリコン膜を覆い、トレンチを埋め込むように第1の酸化シリコン膜を形成する工程と、
    (f)前記第1の酸化シリコン膜をエッチングし、トレンチ内上部の前記第1の酸化シリコン膜を除去する工程と、
    (g)露出した前記第2の窒化シリコン膜をエッチングして除去する工程と、
    (h)前記トレンチを埋め込むように第2の酸化シリコン膜を形成する工程と、
    (i)前記第1の窒化シリコン膜をストッパとして、前記第2の酸化シリコン膜を化学機械研磨する工程と、
    (j)露出した前記第1の窒化シリコン膜をエッチングして除去する工程と、を含む半導体装置の製造方法。
  2. さらに、
    (e)前記工程(f)の前に、前記第2の窒化シリコン膜上の前記第1の酸化シリコン膜を化学機械研磨し、平坦な表面を形成する工程、
    を含む請求項1記載の半導体装置の製造方法。
  3. 前記工程(g)は、前記半導体基板表面から80〜150nmまでの前記第2の窒化シリコン膜を除去する請求項1または2記載の半導体装置の製造方法。
  4. さらに、
    (k)前記工程(d)の後、アニールして前記第1の酸化シリコン膜の粗密差を減少させる工程、
    を含む請求項1〜3のいずれか1項記載の半導体装置の製造方法。
  5. さらに、
    (k)前記工程(h)の後、アニールして前記第2の酸化シリコン膜を緻密化する工程、
    を含む請求項1〜4のいずれか1項記載の半導体装置の製造方法。
  6. 前記工程(g)が、露出した前記第2の窒化シリコン膜をエッチングした後、さらにオーバーエッチを行い、活性領域上の前記第1の窒化シリコン膜の側面を後退させると共に、上面も低下させる請求項1〜5のいずれか1項記載の半導体装置の製造方法。
  7. 前記工程(a)が、前記第1の窒化シリコン膜の上にエッチング特性の異なるカバー層を積層し、前記工程(g)が、露出した前記第2の窒化シリコン膜をエッチングした後、さらにオーバーエッチを行い、活性領域上の前記第1の窒化シリコン膜の上面は低下させずに、側面を後退させる請求項1〜5のいずれか1項記載の半導体装置の製造方法。
  8. さらに、
    (l)前記工程(g)の後、前記工程(h)の前にトレンチ下部に残っている前記第1の酸化シリコン膜を除去する工程、
    を含み、前記工程(h)が、前記第2の窒化シリコン膜で囲まれた領域にボイドを形成するように前記第2の酸化シリコン膜を形成する請求項1〜6のいずれか1項記載の半導体装置の製造方法。
  9. 半導体基板と、
    前記半導体基板表面から内部に形成された素子分離用トレンチと、
    前記半導体基板表面から引き下り、前記トレンチ内面の下部を覆うように形成された窒化シリコン膜のライナーと、
    前記窒化シリコン膜のライナーで囲まれた領域に形成され、トレンチの下部を埋める第1の酸化シリコン膜と、
    前記第1の酸化シリコン膜の上に形成されトレンチの上部を埋める第2の酸化シリコン膜と、
    を有する半導体装置。
  10. 半導体基板と、
    前記半導体基板表面から内部に形成された素子分離用トレンチと、
    前記半導体基板表面から引き下り、前記トレンチ内面の下部を覆うように形成された窒化シリコン膜のライナーと、
    前記窒化シリコン膜のライナーで囲まれた領域にボイドを形成して、トレンチを埋める酸化シリコン膜と、
    を有する半導体装置。
JP2002376009A 2002-12-26 2002-12-26 半導体装置の製造方法と半導体装置 Pending JP2004207564A (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2002376009A JP2004207564A (ja) 2002-12-26 2002-12-26 半導体装置の製造方法と半導体装置
TW092132374A TWI229410B (en) 2002-12-26 2003-11-19 Semiconductor device having STI without divot and its manufacture
US10/721,080 US7208812B2 (en) 2002-12-26 2003-11-26 Semiconductor device having STI without divot and its manufacture
CNB2003101215093A CN1298042C (zh) 2002-12-26 2003-12-16 具有无凹痕浅槽隔离的半导体器件及其制造方法
US11/268,499 US7196396B2 (en) 2002-12-26 2005-11-08 Semiconductor device having STI without divot and its manufacture
US11/723,246 US7759215B2 (en) 2002-12-26 2007-03-19 Semiconductor device having STI without divot and its manufacture

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002376009A JP2004207564A (ja) 2002-12-26 2002-12-26 半導体装置の製造方法と半導体装置

Publications (1)

Publication Number Publication Date
JP2004207564A true JP2004207564A (ja) 2004-07-22

Family

ID=32652708

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002376009A Pending JP2004207564A (ja) 2002-12-26 2002-12-26 半導体装置の製造方法と半導体装置

Country Status (4)

Country Link
US (3) US7208812B2 (ja)
JP (1) JP2004207564A (ja)
CN (1) CN1298042C (ja)
TW (1) TWI229410B (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100691016B1 (ko) 2005-12-01 2007-03-09 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
JP2007103864A (ja) * 2005-10-07 2007-04-19 Sharp Corp 半導体装置及びその製造方法
JP2008041901A (ja) * 2006-08-04 2008-02-21 Toshiba Corp 半導体装置及びその製造方法
JP2008159863A (ja) * 2006-12-25 2008-07-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2008306139A (ja) * 2007-06-11 2008-12-18 Elpida Memory Inc 半導体装置の素子分離構造の形成方法、半導体装置の素子分離構造及び半導体記憶装置
US7871840B2 (en) 2005-07-19 2011-01-18 Sumitomo Electric Industries, Ltd. Method for manufacturing semiconductor optical device using inductive coupled plasma-enhance CVD
JP2011119331A (ja) * 2009-12-01 2011-06-16 Renesas Electronics Corp 半導体集積回路装置の製造方法
JP2012209473A (ja) * 2011-03-30 2012-10-25 National Institute Of Advanced Industrial & Technology 半導体の製造方法及び半導体装置
US8592284B2 (en) 2008-08-20 2013-11-26 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US10651094B2 (en) 2014-11-13 2020-05-12 Renesas Electronics Corporation Semiconductor device and method of manufacturing same
WO2023149284A1 (ja) * 2022-02-01 2023-08-10 浜松ホトニクス株式会社 光検出器

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004207564A (ja) * 2002-12-26 2004-07-22 Fujitsu Ltd 半導体装置の製造方法と半導体装置
JP2005142481A (ja) * 2003-11-10 2005-06-02 Nec Electronics Corp 半導体装置の製造方法
US20050205963A1 (en) * 2004-03-16 2005-09-22 Johnson David A Integrated anneal cap/ ion implant mask/ trench isolation structure for III-V devices
US7332408B2 (en) 2004-06-28 2008-02-19 Micron Technology, Inc. Isolation trenches for memory devices
US7306983B2 (en) * 2004-12-10 2007-12-11 International Business Machines Corporation Method for forming dual etch stop liner and protective layer in a semiconductor device
JP2006203109A (ja) * 2005-01-24 2006-08-03 Nec Electronics Corp 半導体装置およびその製造方法
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
US7396724B2 (en) * 2005-03-31 2008-07-08 International Business Machines Corporation Dual-hybrid liner formation without exposing silicide layer to photoresist stripping chemicals
KR100685730B1 (ko) * 2005-05-02 2007-02-26 삼성전자주식회사 절연막 구조물의 형성 방법 및 이를 이용한 반도체 장치의제조 방법
US7179717B2 (en) * 2005-05-25 2007-02-20 Micron Technology, Inc. Methods of forming integrated circuit devices
US20070235783A9 (en) * 2005-07-19 2007-10-11 Micron Technology, Inc. Semiconductor constructions, memory arrays, electronic systems, and methods of forming semiconductor constructions
US7772672B2 (en) * 2005-09-01 2010-08-10 Micron Technology, Inc. Semiconductor constructions
TWI299519B (en) * 2005-09-28 2008-08-01 Promos Technologies Inc Method of fabricating shallow trench isolation structure
US8501632B2 (en) * 2005-12-20 2013-08-06 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
JP2007189110A (ja) * 2006-01-13 2007-07-26 Sharp Corp 半導体装置及びその製造方法
US7566630B2 (en) * 2006-01-18 2009-07-28 Intel Corporation Buried silicon dioxide / silicon nitride bi-layer insulators and methods of fabricating the same
US8936995B2 (en) * 2006-03-01 2015-01-20 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
US7811935B2 (en) * 2006-03-07 2010-10-12 Micron Technology, Inc. Isolation regions and their formation
US20070212874A1 (en) * 2006-03-08 2007-09-13 Micron Technology, Inc. Method for filling shallow isolation trenches and other recesses during the formation of a semiconductor device and electronic systems including the semiconductor device
US7799694B2 (en) 2006-04-11 2010-09-21 Micron Technology, Inc. Methods of forming semiconductor constructions
US7998809B2 (en) * 2006-05-15 2011-08-16 Micron Technology, Inc. Method for forming a floating gate using chemical mechanical planarization
US7442620B2 (en) * 2006-06-13 2008-10-28 Macronix International Co., Ltd. Methods for forming a trench isolation structure with rounded corners in a silicon substrate
KR100799151B1 (ko) * 2006-06-29 2008-01-29 주식회사 하이닉스반도체 플래시 메모리 소자의 소자 분리막 형성방법
KR100790296B1 (ko) * 2006-12-04 2008-01-02 주식회사 하이닉스반도체 플래시 메모리 소자의 제조방법
KR100843246B1 (ko) * 2007-05-22 2008-07-02 삼성전자주식회사 Sti 구조를 가지는 반도체 소자 및 그 제조 방법
TW200847328A (en) * 2007-05-23 2008-12-01 Promos Technologies Inc Method for preparing a shallow trench isolation
KR20090008004A (ko) * 2007-07-16 2009-01-21 삼성전자주식회사 Sti 구조를 가지는 반도체 소자 및 그 제조 방법
TWI358788B (en) 2007-07-24 2012-02-21 Nanya Technology Corp Method for forming a shallow trench isolation
US8115254B2 (en) * 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
KR100891534B1 (ko) * 2007-10-26 2009-04-03 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20090127648A1 (en) * 2007-11-15 2009-05-21 Neng-Kuo Chen Hybrid Gap-fill Approach for STI Formation
KR20100032039A (ko) * 2008-09-17 2010-03-25 주식회사 동부하이텍 반도체 소자의 셸로우 트렌치 소자분리막 제조 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9953885B2 (en) 2009-10-27 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. STI shape near fin bottom of Si fin in bulk FinFET
US8143137B2 (en) * 2010-02-17 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device by thinning hardmask layers on frontside and backside of substrate
CN102201360A (zh) * 2010-03-24 2011-09-28 中芯国际集成电路制造(上海)有限公司 沟槽隔离结构及其形成方法
KR20110120695A (ko) * 2010-04-29 2011-11-04 삼성전자주식회사 반도체 소자
CN102479741A (zh) * 2010-11-23 2012-05-30 旺宏电子股份有限公司 浅沟渠隔离结构的制造方法
CN102543822B (zh) * 2010-12-23 2014-11-05 无锡华润上华半导体有限公司 浅沟槽隔离结构的制作方法
CN102737961B (zh) * 2011-04-02 2015-03-11 无锡华润上华科技有限公司 减少光刻胶掩膜倒塌或移位的方法
CN102420140A (zh) * 2011-05-26 2012-04-18 上海华力微电子有限公司 埋入式二次氮化硅衬垫的浅槽隔离结构的制备方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9099556B2 (en) 2011-08-19 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having an active region with wing structure
US8916950B2 (en) * 2011-10-18 2014-12-23 International Business Machines Corporation Shallow trench isolation structure having a nitride plug
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103377980B (zh) * 2012-04-17 2015-11-25 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构及其形成方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN104637860B (zh) * 2013-11-08 2017-10-20 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构及其制备方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104319257B (zh) * 2014-10-29 2017-04-05 上海华力微电子有限公司 一种浅沟槽隔离结构的制造方法
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9812319B1 (en) * 2016-07-06 2017-11-07 Asm Ip Holding B.V. Method for forming film filled in trench without seam or void
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10515845B2 (en) 2017-11-09 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure including isolations and method for manufacturing the same
TWI755545B (zh) * 2017-11-09 2022-02-21 台灣積體電路製造股份有限公司 包含隔離結構之半導體結構及其製作方法
US10510855B2 (en) 2017-11-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor layout to reduce kink effect
DE102018114750A1 (de) 2017-11-14 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor-layout zum reduzieren des kink-effekts
US10468410B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate modulation to improve kink effect
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10734379B2 (en) 2017-11-30 2020-08-04 Intel Corporation Fin end plug structures for advanced integrated circuit structure fabrication
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI703673B (zh) * 2018-09-27 2020-09-01 台灣積體電路製造股份有限公司 半導體裝置的製造方法以及半導體裝置
US11201122B2 (en) 2018-09-27 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device with reduced warpage and better trench filling performance
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11239313B2 (en) 2018-10-30 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated chip and method of forming thereof
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11017851B1 (en) * 2019-11-26 2021-05-25 Cypress Semiconductor Corporation Silicon-oxide-nitride-oxide-silicon based multi level non-volatile memory device and methods of operation thereof
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
CN111540677B (zh) * 2020-05-28 2023-03-21 绍兴同芯成集成电路有限公司 一种三层阶梯状沟槽晶体管的制造工艺
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112928060A (zh) * 2021-01-21 2021-06-08 华虹半导体(无锡)有限公司 Sti的形成方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3859222A (en) * 1971-07-19 1975-01-07 North American Rockwell Silicon nitride-silicon oxide etchant
JP2703228B2 (ja) 1987-06-18 1998-01-26 三洋電機株式会社 窒化シリコン膜の形成方法
JPS6475664A (en) 1987-09-18 1989-03-22 Agency Ind Science Techn Formation of x-ray transmitting film
JPH01176067A (ja) 1987-12-29 1989-07-12 Hoya Corp 窒化シリコン膜の成膜方法
JPH01282836A (ja) 1988-05-09 1989-11-14 Fujitsu Ltd 半導体装置の製造方法
JPH01315161A (ja) 1988-06-15 1989-12-20 Fujitsu Ltd 半導体装置の製造方法
JPH0562971A (ja) 1991-09-02 1993-03-12 Fuji Electric Co Ltd 窒化シリコン膜の形成方法
JPH09283614A (ja) 1996-04-10 1997-10-31 Sony Corp トレンチ素子分離構造およびその形成方法
JP3602313B2 (ja) 1997-06-30 2004-12-15 富士通株式会社 半導体装置の製造方法
JPH11191590A (ja) 1997-10-23 1999-07-13 Sony Corp 半導体装置の製造方法および半導体装置
US6960818B1 (en) * 1997-12-30 2005-11-01 Siemens Aktiengesellschaft Recessed shallow trench isolation structure nitride liner and method for making same
JPH11214378A (ja) 1998-01-22 1999-08-06 Sony Corp 半導体装置の製造方法
US6479368B1 (en) 1998-03-02 2002-11-12 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device having a shallow trench isolating region
KR100249025B1 (ko) * 1998-03-06 2000-03-15 김영환 반도체장치의 소자분리방법
US6333274B2 (en) * 1998-03-31 2001-12-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device including a seamless shallow trench isolation step
KR100280106B1 (ko) * 1998-04-16 2001-03-02 윤종용 트렌치 격리 형성 방법
JP3972486B2 (ja) 1998-09-18 2007-09-05 株式会社デンソー 半導体装置の製造方法
JP3977974B2 (ja) 1998-12-29 2007-09-19 株式会社半導体エネルギー研究所 半導体装置
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
KR100315441B1 (ko) * 1999-03-25 2001-11-28 황인길 반도체 소자 분리를 위한 얕은 트렌치 제조 방법
JP2002208629A (ja) 2000-11-09 2002-07-26 Toshiba Corp 半導体装置、及び、半導体装置の製造方法
KR100354439B1 (ko) 2000-12-08 2002-09-28 삼성전자 주식회사 트렌치 소자 분리막 형성 방법
KR100568100B1 (ko) * 2001-03-05 2006-04-05 삼성전자주식회사 트렌치형 소자 분리막 형성 방법
KR100512167B1 (ko) * 2001-03-12 2005-09-02 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 트렌치형 소자 분리막형성방법
JP3774121B2 (ja) 2001-03-14 2006-05-10 日本電信電話株式会社 Icカードシステムにおける認証方法及びシステム
JP2002289683A (ja) 2001-03-28 2002-10-04 Nec Corp トレンチ分離構造の形成方法および半導体装置
US7125783B2 (en) * 2001-04-18 2006-10-24 Integrated Device Technology, Inc. Dielectric anti-reflective coating surface treatment to prevent defect generation in associated wet clean
KR100428806B1 (ko) * 2001-07-03 2004-04-28 삼성전자주식회사 트렌치 소자분리 구조체 및 그 형성 방법
KR100438403B1 (ko) * 2001-09-05 2004-07-02 동부전자 주식회사 플랫 셀 메모리 소자의 제조방법
FR2830984B1 (fr) * 2001-10-17 2005-02-25 St Microelectronics Sa Tranchee d'isolement et procede de realisation
US6451654B1 (en) * 2001-12-18 2002-09-17 Nanya Technology Corporation Process for fabricating self-aligned split gate flash memory
TWI248159B (en) * 2002-01-25 2006-01-21 Nanya Technology Corp Manufacturing method for shallow trench isolation with high aspect ratio
TW533536B (en) * 2002-04-24 2003-05-21 Nanya Technology Corp Manufacturing method of shallow trench isolation
US6818526B2 (en) * 2002-10-02 2004-11-16 Texas Instruments Incorporated Method for moat nitride pull back for shallow trench isolation
JP2004193585A (ja) * 2002-11-29 2004-07-08 Fujitsu Ltd 半導体装置の製造方法と半導体装置
JP2004207564A (ja) * 2002-12-26 2004-07-22 Fujitsu Ltd 半導体装置の製造方法と半導体装置

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7871840B2 (en) 2005-07-19 2011-01-18 Sumitomo Electric Industries, Ltd. Method for manufacturing semiconductor optical device using inductive coupled plasma-enhance CVD
JP2007103864A (ja) * 2005-10-07 2007-04-19 Sharp Corp 半導体装置及びその製造方法
KR100691016B1 (ko) 2005-12-01 2007-03-09 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
JP2008041901A (ja) * 2006-08-04 2008-02-21 Toshiba Corp 半導体装置及びその製造方法
JP2008159863A (ja) * 2006-12-25 2008-07-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2008306139A (ja) * 2007-06-11 2008-12-18 Elpida Memory Inc 半導体装置の素子分離構造の形成方法、半導体装置の素子分離構造及び半導体記憶装置
US8592284B2 (en) 2008-08-20 2013-11-26 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
JP2011119331A (ja) * 2009-12-01 2011-06-16 Renesas Electronics Corp 半導体集積回路装置の製造方法
JP2012209473A (ja) * 2011-03-30 2012-10-25 National Institute Of Advanced Industrial & Technology 半導体の製造方法及び半導体装置
US10651094B2 (en) 2014-11-13 2020-05-12 Renesas Electronics Corporation Semiconductor device and method of manufacturing same
WO2023149284A1 (ja) * 2022-02-01 2023-08-10 浜松ホトニクス株式会社 光検出器

Also Published As

Publication number Publication date
TW200416942A (en) 2004-09-01
US7196396B2 (en) 2007-03-27
US7759215B2 (en) 2010-07-20
CN1512559A (zh) 2004-07-14
CN1298042C (zh) 2007-01-31
US20040126990A1 (en) 2004-07-01
US7208812B2 (en) 2007-04-24
US20070190715A1 (en) 2007-08-16
US20060108661A1 (en) 2006-05-25
TWI229410B (en) 2005-03-11

Similar Documents

Publication Publication Date Title
JP2004207564A (ja) 半導体装置の製造方法と半導体装置
US6265302B1 (en) Partially recessed shallow trench isolation method for fabricating borderless contacts
JP2005251973A (ja) 半導体装置の製造方法と半導体装置
US6468853B1 (en) Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US6297126B1 (en) Silicon nitride capped shallow trench isolation method for fabricating sub-micron devices with borderless contacts
US6258692B1 (en) Method forming shallow trench isolation
KR100809841B1 (ko) 반도체 장치와 그 제조 방법
JP2004363595A5 (ja)
US6737342B1 (en) Composite spacer scheme with low overlapped parasitic capacitance
JP2010263129A (ja) 半導体装置およびその製造方法
US8592284B2 (en) Semiconductor device and manufacturing method thereof
US20020127818A1 (en) Recess-free trench isolation structure and method of forming the same
JP2008041901A (ja) 半導体装置及びその製造方法
US6281082B1 (en) Method to form MOS transistors with a common shallow trench isolation and interlevel dielectric gap fill
US20020004285A1 (en) Stress-free shallow trench isolation
JP2003017555A (ja) 半導体集積回路装置およびその製造方法
KR20060046020A (ko) 반도체 장치 및 그 제조 방법
US6358785B1 (en) Method for forming shallow trench isolation structures
US6642536B1 (en) Hybrid silicon on insulator/bulk strained silicon technology
JP4791723B2 (ja) 半導体装置及びその製造方法
US6500729B1 (en) Method for reducing dishing related issues during the formation of shallow trench isolation structures
US20230060695A1 (en) Deep trench isolation with field oxide
TW432596B (en) A silicon nitride capped shallow trench isolation method for fabricating sub-micron devices with borderless contacts
JPH1167892A (ja) 半導体装置およびその製造方法
JP2005109260A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040624

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050418

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050517

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050719

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20061003

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061129

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20061222

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20070119

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080729