JP4288767B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP4288767B2
JP4288767B2 JP19377799A JP19377799A JP4288767B2 JP 4288767 B2 JP4288767 B2 JP 4288767B2 JP 19377799 A JP19377799 A JP 19377799A JP 19377799 A JP19377799 A JP 19377799A JP 4288767 B2 JP4288767 B2 JP 4288767B2
Authority
JP
Japan
Prior art keywords
film
processed
surface portion
etching
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP19377799A
Other languages
English (en)
Other versions
JP2001023966A (ja
Inventor
公裕 松瀬
栄 中塚
健太郎 大下
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP19377799A priority Critical patent/JP4288767B2/ja
Priority to US09/611,665 priority patent/US6454909B1/en
Publication of JP2001023966A publication Critical patent/JP2001023966A/ja
Application granted granted Critical
Publication of JP4288767B2 publication Critical patent/JP4288767B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置の製造方法に関する。
【0002】
【従来の技術】
一般に、半導体集積回路等の半導体装置の製造工程においては、被処理体である半導体ウエハ表面に配線パターンを形成するために或いは配線間等のホールを埋め込むために、またはこれらの両者を同時に行なうためにAl(アルミニウム)、W(タングステン)、WSi(タングステンシリサイド)、Ti(チタン)、TiN(チタンナイトライド)、TiSi(チタンシリサイド)等の金属或いは金属化合物を堆積させて薄膜を形成することが行なわれている。
従来は、配線パターン等の材料としては、加工性が容易なアルミニウムが主として用いられていたが、最近における半導体装置の更なる高微細化及び多層化の要請に応じて、また、動作の高速性の要請に応じて、アルミニウム膜に代えて中でもタングステン膜が主として用いられる傾向にある。このタングステン膜を成膜する場合には、この下層との密着性を向上させるため、或いは下層にSi原子が含まれている時には、このSi原子の吸い上げを抑制するために、下地にバリヤメタルとして例えばTiN膜を形成し、この上にタングステン膜を堆積させるようになっている。
【0003】
この時の成膜工程について図9を参照して説明する。図9(A)においてWは例えばSi基板よりなる半導体ウエハであり、この表面にすでに必要な膜が多層に形成されている場合もあるし、形成されていない場合もあるが、ここでは単に半導体ウエハWとして表している。まず、ウエハW上にタングステン膜の成膜に先立って下地膜としてTiN膜より成るバリヤメタル膜2を堆積し、このバリヤメタル膜2上に、図9(B)に示すように所定の厚さのタングステン膜4を堆積させる。ここで、バリヤメタル膜2を成膜するには、一般的にはスパッタ成膜や熱CVD(Chemical Vapor Deposition)を用いて数Torr程度の低圧下で成膜を行なうので、ウエハは成膜装置内のサセプタ(載置台)上に単に載置されるだけであり、クランプリング等によりサセプタ上に固定することなく成膜処理が行なわれる。このため、バリヤメタル膜2は、ウエハWの表面部分W1のみならず、ウエハWの曲面状になっている側面部分W2にも、或いは裏面部分W3にも僅かに成膜される。これに対して、タングステン膜4の成膜は、上記圧力よりも高い80Torr程度の圧力下で成膜を行なうことから、バリヤメタル膜2の成膜時とは異なってウエハWが成膜途中にサセプタ上を移動する恐れがあり、そのため、タングステン膜4の周縁部にリング状のクランプリング6を当接させて成膜処理が行なわれる。このため、ウエハWの側面部分W2や裏面部分W3への成膜ガスの回り込みがウエハに当接した上記クランプリング6によって抑制されるので、タングステン膜4は、ウエハWの表面部分W1のみに堆積し、側面部分W2の面や裏面部分W3の面には堆積しない。
【0004】
【発明が解決しようとする課題】
ところで、上述したようにバリヤメタル膜2がウエハWの表面部分W1のみならず、側面部分W2や裏面部分W3にも付着してしまうが、この側面部分W2や裏面部分W3に付着した不要なバリヤメタル膜2Aが後工程において剥がれ落ちてパーティクルの発生原因となってしまう、という問題があった。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、被処理体の側面部分や裏面部分に付着する不要な下地膜を効率的に除去することができる半導体装置の製造方法を提供することにある。
【0005】
【課題を解決するための手段】
請求項1に規定する発明は、半導体装置の製造方法において、被処理体の表面部分のみならず少なくとも側面部分にも堆積してしまう方法で下地膜を成膜する下地膜形成工程と、処理容器内の載置台上に載置されている前記被処理体の周縁部にクランプリングを接触させて押圧した状態で前記被処理体の上方に成膜用の処理ガスを供給することにより前記被処理体の表面部分のみに主膜を成膜する主膜形成工程と、処理容器内の載置台上に載置されている前記被処理体の周縁部にクランプリングを接触させていない状態で前記被処理体の上方にエッチングガスを流すことにより前記主膜をマスクとして前記被処理体の側面部分に堆積した下地膜をエッチングして除去する側面エッチング工程とを有するようにしたものである。
【0006】
このように、下地膜上に形成した主膜をマスクとして側面エッチング処理を施すことにより、被処理体の側面部分或いはこれに連続する裏面部分に付着した不要な下地膜をエッチングして除去することが可能となる。
この場合、マスクとして機能する主膜もエッチングによって僅かに削り取られることは避けられないので、請求項2に規定するように例えば前記主膜形成工程においては、この後の工程の側面エッチング工程時における前記主膜のエッチング量に見合った厚さだけ目標値よりも余分に厚く主膜を形成する。
【0007】
また、請求項3に規定する発明は、半導体装置の製造方法において、被処理体の表面部分のみならず少なくとも側面部分にも堆積してしまう方法で下地膜を成膜する下地膜形成工程と、処理容器内の載置台上に載置されている前記被処理体の周縁部にクランプリングを接触させて押圧した状態で前記被処理体の上方に成膜用の処理ガスを供給することにより前記被処理体の表面部分のみに主膜を成膜する主膜形成工程と、処理容器内の載置台上に載置されている前記被処理体の周縁部にクランプリングを接触させて押圧した状態で前記処理容器内の下方にエッチングガスを供給して該エッチングガスが前記被処理体の上方へ流れないようにすると共に前記被処理体の側面部分を通過させるようにして前記被処理体の側面部分に堆積した下地膜をエッチングして除去する側面エッチング工程とを有するようにしたものである。
この場合にも、被処理体の側面部分或いはこれに連続する裏面部分に付着した不要な下地膜をエッチングして除去することが可能となる。
【0008】
また請求項4に規定するように、例えば前記クランプリングはテーパ状の接触面を有し、前記クランプリングと前記被処理体との接触状態は線接触になされている。
また請求項5に規定するように、例えば前記主膜形成工程と前記側面エッチング工程とは、同一の処理装置内で行なう。
また請求項6に規定するように、例えば前記主膜形成工程と前記側面エッチング工程とは、互いに異なる処理装置内で行なう。
また請求項7に規定するように、例えば前記下地膜は、チタンナイトライド膜であり、前記主膜はタングステン膜である。
【0009】
【発明の実施の形態】
以下に、本発明に係る半導体装置の製造方法の一実施例を添付図面に基づいて詳述する。
図1は本発明方法の一部の工程を行なう処理装置を示す構成図、図2は図1に示す装置を用いて側面エッチング処理を行なう方法を説明する説明図、図3は本発明方法の工程を示す工程図である。
図1に示す処理装置では、主膜であるタングステン膜の成膜処理と、下地膜の側面エッチング処理を行なう。この処理装置8には、例えばアルミニウム等により円筒状或いは箱状に成形された処理容器10を有しており、この処理容器10内には、処理容器底部より起立させた円筒状のリフレクタ12上に、例えば3本のL字状の保持棒14(図示例では2本のみ記す)を介して被処理体としての半導体ウエハWを載置するための載置台16が設けられている。このリフレクタ12は例えばアルミニウムにより形成され、保持棒14は、熱線透過性の材料、例えば石英により構成されており、また、載置台16は、厚さ1mm程度の例えばカーボン素材、AlNなどのアルミ化合物等により構成されている。
【0010】
この載置台16の下方には、複数本、例えば3本のL字状のリフタピン18(図示例では2本のみ記す)がリング状の支持部材20に固定して設けられており、この支持部材20を、処理容器底部に貫通して設けられた押し上げ棒22により上下動させることにより、上記リフタピン18を載置台16に貫通させて設けたリフタピン穴24に挿通させてウエハWを持ち上げ得るようになっている。
上記押し上げ棒22の下端は、処理容器10内の気密状態を保持するために伸縮可能なベローズ26を介してアクチュエータ28に接続されている。上記載置台16の周縁部には、ウエハWの周縁部と例えば線接触してこれを載置台16側へ固定するためのリング状のクランプリング30が設けられている。このクランプリング30としては、ウエハの輪郭形状に沿った略リング状のセラミック材料を用いることができる。このクランプリング30の内周面であるウエハとの接触面30Aはテーパ面となっている。このクランプリング30は、例えば石英製の支持部材32を介して上記リフタピン18に連結されており、このリフタピン18と一体的に昇降するようになっている。
【0011】
また、載置台16の直下の処理容器底部には、石英等の熱線透過材料よりなる透過窓34が気密に設けられており、この下方には、透過窓34を囲むように箱状の加熱室36が設けられている。この加熱室36内には加熱手段として複数個の加熱ランプ38が反射鏡も兼ねる回転台40に取り付けられており、この回転台40は、回転軸を介して加熱室36の底部に設けた回転モータ42により回転される。従って、この加熱ランプ38より放出された熱線は、透過窓34を透過して載置台16の下面を照射してこれを加熱し得るようになっている。尚、加熱手段として加熱ランプ38に替えて、載置台16に埋め込むようにして抵抗加熱ヒータを設けるようにしてもよい。
【0012】
また、載置台16の外周側には、多数の整流孔44を有するリング状の整流板46が、支持コラム48により支持させて設けられている。整流板46の内周側には、上記クランプリング30の外周部と接触してこの下方にガスが流れないようにするリング状の石英製アタッチメント50が設けられる。整流板46の下方の底部には排気口52が設けられ、この排気口52には図示しない真空ポンプに接続された排気通路54が接続されており、処理容器10内を所定の真空度に維持し得るようになっている。また、処理容器10の側壁には、ウエハを搬出入する際に開閉されるゲートバルブ56が設けられる。
また、上記載置台16の下方であって、円筒状のリフレクタ12によって囲まれた空間に臨ませてガスノズル58が設けられており、このガスノズル58には、途中にマスフローコントローラのような流量制御器60及び開閉弁62を介設したガス供給路64が接続されている。そして、このガス供給路64の他端に不活性ガスとして例えばArガスを貯留するArガス源66を接続して、裏面デポ防止用のガスを流すようになっている。
【0013】
一方、上記載置台16と対向する処理容器天井部には、処理ガス等を処理容器10内へ導入するための処理ガス供給手段とエッチングガス供給手段とを兼ね備えたシャワーヘッド部68が設けられている。具体的には、このシャワーヘッド部68は、例えばアルミニウム等により円形箱状に成形されたヘッド本体70を有し、この天井部にはガス導入口72が設けられている。
このガス導入口72には、ガス通路を介して処理に必要なガス、例えばWF6 、Ar、SiH4、N2 等のガス源やエッチングガスとして用いられる例えばC lF3 等のガス源が流量制御可能に接続されている。
ヘッド本体70の下部には、ヘッド本体70内へ供給されたガスを処理空間Sへ放出するための多数のガス噴射孔74が面内の略全体に配置されており、ウエハ表面に亘ってガスを放出するようになっている。また、ヘッド本体70内には、多数のガス分散孔76を有する拡散板78が配設されており、ウエハ面に、より均等にガスを供給するようになっている。
【0014】
次に、以上のように構成された装置を一部を用いて行なわれる本発明方法について図1乃至図3も参照しつつ説明する。
図3(A)においてWは被処理体としての半導体ウエハであり、これは例えばSi基板よりなる。このウエハWの表面にすでに必要な膜が多層に形成されている場合もあるし、形成されていない場合もあるが、ここでは単に半導体ウエハWとして表している。
【0015】
まず、ウエハW上にタングステン膜の成膜に先立って下地膜としてTiN膜よりなるバリヤメタル膜2を堆積させる。このTiN膜の成膜処理は、図1に示すような処理装置とは異なってクランプリングを用いない処理装置で行なわれ、スパッタや熱CVDにより成膜がなされる。ここでは成膜ガスとしては、例えばTiCl4 ガスやNH3 ガスが用いられ、プロセス圧力は数Torr程度の低圧であり、プロセス温度は200℃程度である。この成膜処理により、バリヤメタル膜2はウエハWの表面部分W1に堆積するのみならず、曲面形状になっている側面部分(ベベル部とも称す)W2やこれに連続する裏面部分W3にも不要なバリヤメタル膜2Aが付着する。
このように、バリヤメタル膜2を成膜したならば、次に、図1に示す装置により図3(B)に示すようにタングステン膜4を成膜する。
【0016】
まず、処理容器10の側壁に設けたゲートバルブ56を開いて図示しない搬送アームにより処理容器10内にバリヤメタル膜2が形成されたウエハWを搬入し、リフタピン18を押し上げることによりウエハWをリフタピン18側に受け渡す。そして、リフタピン18を、押し上げ棒22を下げることによって降下させ、ウエハWを載置台16上に載置すると共に更に押し上げ棒22を下げることによってウエハWの周縁部をクランプリング30で押圧してこれを固定する。この時、クランプリング30のテーパ状の接触面30Aがウエハ上面の周縁部と線接触して気密性が高い状態となる。
【0017】
次に、図示しない処理ガス源から処理ガスとしてWF6,SiH4等をシャワ ーヘッド部68へ所定量ずつ供給して混合し、これをヘッド本体70の下面のガス噴射孔74から処理容器10内へ略均等に供給する。これと同時に、排気口52から内部雰囲気を吸引排気することにより処理容器10内を所定の真空度、例えば80Torr程度の値に設定し、且つ載置台16の下方に位置する加熱ランプ38を回転させながら駆動し、熱エネルギを放射する。
放射された熱線は、透過窓34を透過した後、載置台16の裏面を照射してこれを加熱する。この載置台16は、前述のように1mm程度と非常に薄いことから迅速に加熱され、従って、この上に載置してあるウエハWを迅速に所定の温度まで加熱することができる。また、成膜ガスがウエハWの裏面部分側に回り込んで裏面デポが生じないように成膜中はArガス源66からのArガスをガスノズル58から裏面空間S2に供給し続ける。そして、処理空間Sへ供給された混合ガスは所定の化学反応を生じ、図3(B)に示すように主膜としてのタングステン膜4がウエハ表面の全面に堆積し、形成されることになる。
【0018】
この成膜処理時においては、クランプリング50がウエハWの周縁部に当接しているので、タングステン膜4は、ウエハWの表面部分W1のみに堆積し、ベベル部である側面部分W2や裏面部分W3にはほとんど堆積することはない。ここで重要な点は、後述する側面エッチング工程にてタングステン膜4も削られるので、このタングステン膜4の膜厚は、最終的な目標値Tよりもエッチング削り量Δtに見合った厚さだけ大きくした厚さ、すなわちT+Δtの厚さに設定しておく。
このようにしてタングステン膜の成膜処理を終了したならば、次にエッチングガスとして例えばClF3 ガスを用いた側面エッチング処理を行なう。
【0019】
この側面エッチング工程は、タングステン膜の成膜を行なった処理装置8内で行なうことができ、例えば図2に示すようにクランプリング30を僅かに上昇させておくことにより、ウエハWの周縁部からクランプリング30を離間させておく。この状態で、シャワーヘッド部68からN2 キャリアガスを伴ってエッチングガスとしてClF3 ガスを処理容器10内に導入する。尚、この間、ガスノズル58からのArガスの供給は停止しておく。このClF3 ガスはウエハWの上面に当たって、その表面を周囲に向かって流れ、また、一部はウエハWの周縁部と途中まで上昇されているクランプリング30との間を通過して下方へ流れて行く。この時、タングステン膜4があたかもマスクのように作用して露出しているベベル部である側面部分W2や裏面部分W3に付着している不要なバリヤメタル膜2A(図3(B)参照)がエッチングされて除去されることになる。これと同時に、タングステン膜4もΔtの厚さだけエッチングされて除去されることになる。この時のエッチング時間は、当然のこととして、不要なバリヤメタル膜2Aを十分に除去できるような時間に設定されている。また、このエッチング時には、プロセス温度は250℃程度、プロセス圧力は1Torr程度なのでタングステン成膜時の数10Torrよりはかなり低く、ウエハWをクランプリング30で押さえ付けていなくても、ウエハWが載置台16上を移動する恐れも生じない。このようにして、図3(C)に示すように、ウエハWのベベル部である側面部分W2及び裏面部分W3における不要なバリヤメタル膜2Aを略完全に除去することが可能となる。
【0020】
尚、ここでは、タングステン膜の成膜処理と側面エッチング処理を同一の処理装置内で行なうようにしたが、これに限らず、側面エッチング処理を、別の処理装置内で行なうようにしてもよい。
ここでClF3 エッチング処理によるベベル部のTiN膜の除去状態を電子顕微鏡写真により評価を行なったのでその結果について説明する。
図4はTiN膜を200Åの厚さだけ堆積した時のベベル部の各点における電子顕微鏡写真を示す図、図5はClF3 エッチング処理を50sec行なった時のベベル部の各点における電子顕微鏡写真を示す図である。尚、距離DはウエハWの最側端からウエハ中心方向への距離を示している。
【0021】
この写真から明らかなように、図4において、距離D=0.374mm、0.482mm及び0.574mmの各点ではTiN膜はほとんど堆積していないが、距離D=0mm及び0.15mmの各点ではTiN膜がかなり堆積している。これに対して、図5に示す側面エッチング後の写真では、距離D=0.374mm、0.482mm及び0.574mmの各点では勿論のこと、距離D=0mm及び0.15mmの各点ではTiN膜が略完全に除去されており、平滑な状態になっていることが判明した。
また、ClF3 エッチング後のタングステン膜の特性についても評価を行なったので、その評価結果について説明する。図6においては、ウエハ上にタングステン膜を略8000Å成膜した時の状態(態様A)、これをClF3 ガスを用いて50secエッチングしてタングステン膜の厚さが略7000Åになった時の状態(態様B)及びウエハ上にタングステン膜を略7000Å(態様Bと同じ厚さ)成膜した時の状態(態様C)の3つの態様を示している。上記各態様について、膜厚の均一性、シート抵抗、反射率、膜ストレスをそれぞれ測定した。この時のエッチング条件は、プロセス温度が250℃、プロセス圧力は1Torr、ClF3 の流量は250sccm、N2 キャリアガスの流量は500sccmである。
【0022】
まず、膜厚の均一性に関しては、エッチング前後で3.00%から3.36%へ低下しており、また、エッチングを行なっていない態様Cでは、2.63%であることから、態様Bの膜厚の均一性はやや劣るが、しかし、これは十分に許容範囲内であり、特に問題はない。
また、シート抵抗、膜ストレスに関しては、態様Bと態様Cはほぼ同程度であり、良好な特性を示している。また、反射率に関しては、態様Bは88.10%を示しており、他の態様A、Cよりも優れた特性を示している。以上の結果から、タングステン膜にエッチング処理を行なった場合(態様B)でも、態様Bと同じ厚さのタングステン膜を成長してエッチングを施さなかった態様Cと略同じ膜特性を示しており、タングステン膜にエッチングを施しても、この膜特性は何ら劣化せず、良好な特性を示していることが判明した。
【0023】
尚、上記実施例では、図3(C)に示す側面エッチング工程においてベベル部の不要なバリヤメタル膜のみならず、タングステン膜も同時にエッチングするようにしているが、処理装置を工夫することにより、タングステン膜はエッチングしないで、ベベル部の不要なバリヤメタル膜のみをエッチングするようにしてもよい。図7はそのような処理装置の構成図を示している。ここでは、図1に示した装置構造において、ガスノズル58にエッチングガス供給手段を接続している。すなわち、ガス供給路64に、流量制御器80と開閉弁82を介設した分岐ガス供給路84を分岐させて設け、この分岐ガス供給路84の端部に、クリーニングガスとしてClF3 ガスを貯留したClF3 ガス源86を接続している。従って、側面エッチング処理時には、上記ガスノズル58からClF3 ガスを導入し、シャワーヘッド部68からは供給しない。
【0024】
この図7に示す処理装置を用いた場合には、図8(A)に示すようにタングステン膜4の成膜工程においては、これを目標値Tの厚さだけ成膜し、図3(B)において説明したように厚さΔtだけ余分に堆積させるようなことはしない。そして、側面エッチング処理の時には、図7に示すように、クランプリング30を下方へ降下させてその接触面30AをウエハWの周縁部に当接させておく。そして、シャワーヘッド部68からはClF3 ガスを流さず、ガスノズル58から裏面空間S2に向けてエッチングガスとしてClF3 ガスを流す。このClF3 ガスはウエハWの側面部分W2を通過した後、排気口52側より排出され、ウエハWの上面側である処理空間S側にはほとんど流れることはない。
従って、タングステン膜4を何らエッチングすることなく、図8(B)に示すようにウエハWの側面部分W2及び裏面部分W3に付着する不要なバリヤメタル膜2Aのみをエッチングして除去することができる。
これによれば、図3において説明した場合よりも、タングステン膜の厚さをΔtだけ少なく成膜すればよく、その分だけ処理時間を短縮することが可能となる。
【0025】
尚、以上説明した実施例では、エッチングガスとしてClF3 ガスを用いた場合を例にとって説明したが。これに限定されず、エッチングガスとしては他のCF系ガス或いはHF系ガス等を用いてもよい。特に、主膜(タングステン膜)よりも下地膜(バリヤメタル膜)に対するエッチングレートが高いエッチングガスを用いるのが好ましい。また、側面クリーニング処理を行なう際には、プラズマレスの熱クリーニングを行なう場合を例にとって説明したが、プラズマを用いたプラズマクリーニング処理を行なうようにしてもよい。
更には、ここでは下地膜としてTiN膜よりなるバリヤメタル膜を用い、主膜としてタングステン膜を用いた場合を例にとって説明したが、これに限定されず、他の膜種についても同様に適用できるのは勿論である。
また、被処理体としては、半導体ウエハに限定されず、LCD基板、ガラス基板等にも適用することができる。
【0026】
【発明の効果】
以上説明したように、本発明の半導体装置の製造方法によれば、次のように優れた作用効果を発揮することができる。
被処理体の側面部分(ベベル部)或いはこれに連続する裏面部分に付着した不要な下地膜を効率的にエッチングして除去することができる。
【図面の簡単な説明】
【図1】本発明方法の一部の工程を行なう処理装置を示す構成図である。
【図2】図1に示す装置を用いて側面エッチング処理を行なう方法を説明する説明図である。
【図3】本発明方法の工程を示す工程図である。
【図4】TiN膜を200Åの厚さだけ堆積した時のベベル部の各点における電子顕微鏡写真を示す図である。
【図5】ClF3 エッチング処理を50sec行なった時のベベル部の各点における電子顕微鏡写真を示す図である。
【図6】ClF3 エッチング後のタングステン膜の特性の評価を説明するための図である。
【図7】本発明の処理装置の変形例を示す構成図である。
【図8】本発明方法の変形例の主要工程を示す図である。
【図9】半導体装置の従来の製造方法の一部の工程を示す図である。
【符号の説明】
2 バリヤメタル膜(下地膜)
2A 不要なバリヤメタル膜
4 タングステン膜(主膜)
8 処理装置
10 処理容器
16 載置台
30 クランプリング
30A 接触面
34 透過窓
38 加熱ランプ(加熱手段)
68 シャワーヘッド部(処理ガス供給手段、エッチングガス供給手段)
W 半導体ウエハ(被処理体)
W1 表面部分
W2 側面部分(ベベル部)
W3 裏面部分

Claims (7)

  1. 半導体装置の製造方法において、
    被処理体の表面部分のみならず少なくとも側面部分にも堆積してしまう方法で下地膜を成膜する下地膜形成工程と、
    処理容器内の載置台上に載置されている前記被処理体の周縁部にクランプリングを接触させて押圧した状態で前記被処理体の上方に成膜用の処理ガスを供給することにより前記被処理体の表面部分のみに主膜を成膜する主膜形成工程と、
    処理容器内の載置台上に載置されている前記被処理体の周縁部にクランプリングを接触させていない状態で前記被処理体の上方にエッチングガスを流すことにより前記主膜をマスクとして前記被処理体の側面部分に堆積した下地膜をエッチングして除去する側面エッチング工程とを有することを特徴とする半導体装置の製造方法。
  2. 前記主膜形成工程においては、この後の工程の側面エッチング工程時における前記主膜のエッチング量に見合った厚さだけ目標値よりも余分に厚く主膜を形成することを特徴とする請求項1記載の半導体装置の製造方法。
  3. 半導体装置の製造方法において、
    被処理体の表面部分のみならず少なくとも側面部分にも堆積してしまう方法で下地膜を成膜する下地膜形成工程と、
    処理容器内の載置台上に載置されている前記被処理体の周縁部にクランプリングを接触させて押圧した状態で前記被処理体の上方に成膜用の処理ガスを供給することにより前記被処理体の表面部分のみに主膜を成膜する主膜形成工程と、
    処理容器内の載置台上に載置されている前記被処理体の周縁部にクランプリングを接触させて押圧した状態で前記処理容器内の下方にエッチングガスを供給して該エッチングガスが前記被処理体の上方へ流れないようにすると共に前記被処理体の側面部分を通過させるようにして前記被処理体の側面部分に堆積した下地膜をエッチングして除去する側面エッチング工程とを有することを特徴とする半導体装置の製造方法。
  4. 前記クランプリングはテーパ状の接触面を有し、前記クランプリングと前記被処理体との接触状態は線接触になされていることを特徴とする請求項1乃至3のいずれか一項に記載の半導体装置の製造方法。
  5. 前記主膜形成工程と前記側面エッチング工程とは、同一の処理装置内で行なうことを特徴とする請求項1乃至4のいずれか一項に記載の半導体装置の製造方法。
  6. 前記主膜形成工程と前記側面エッチング工程とは、互いに異なる処理装置内で行なうことを特徴とする請求項1乃至5のいずれか一項に記載の半導体装置の製造方法。
  7. 前記下地膜は、チタンナイトライド膜であり、前記主膜はタングステン膜であることを特徴とする請求項1乃至6のいずれか一項に記載の半導体装置の製造方法。
JP19377799A 1999-07-07 1999-07-07 半導体装置の製造方法 Expired - Fee Related JP4288767B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP19377799A JP4288767B2 (ja) 1999-07-07 1999-07-07 半導体装置の製造方法
US09/611,665 US6454909B1 (en) 1999-07-07 2000-07-06 Method and apparatus for forming a film on an object to be processed

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP19377799A JP4288767B2 (ja) 1999-07-07 1999-07-07 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2001023966A JP2001023966A (ja) 2001-01-26
JP4288767B2 true JP4288767B2 (ja) 2009-07-01

Family

ID=16313641

Family Applications (1)

Application Number Title Priority Date Filing Date
JP19377799A Expired - Fee Related JP4288767B2 (ja) 1999-07-07 1999-07-07 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US6454909B1 (ja)
JP (1) JP4288767B2 (ja)

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3979750B2 (ja) * 1998-11-06 2007-09-19 株式会社荏原製作所 基板の研磨装置
US20020142568A1 (en) * 2001-03-27 2002-10-03 Taiwan Semiconductor Manufacturing Co., Ltd., Method and system for efficiently scheduling multi-chamber fabrication tool capacity
KR101207593B1 (ko) * 2007-03-28 2012-12-03 도쿄엘렉트론가부시키가이샤 Cvd 성막 장치
US20110263123A1 (en) 2008-08-05 2011-10-27 Tokyo Electron Limited Placing table structure
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
TW201616467A (zh) * 2014-10-31 2016-05-01 中華映管股份有限公司 曲面裝飾板以及曲面顯示裝置的製作方法
CN105742203B (zh) 2014-12-10 2019-08-13 中微半导体设备(上海)股份有限公司 一种改变气体流动模式的装置及晶圆处理方法和设备
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5270254A (en) * 1991-03-27 1993-12-14 Sgs-Thomson Microelectronics, Inc. Integrated circuit metallization with zero contact enclosure requirements and method of making the same
US5635244A (en) * 1995-08-28 1997-06-03 Lsi Logic Corporation Method of forming a layer of material on a wafer

Also Published As

Publication number Publication date
US6454909B1 (en) 2002-09-24
JP2001023966A (ja) 2001-01-26

Similar Documents

Publication Publication Date Title
JP4288767B2 (ja) 半導体装置の製造方法
JP3911902B2 (ja) 処理装置及び金属部品の表面処理方法
JP3362552B2 (ja) 成膜処理装置
JP4032872B2 (ja) タングステン膜の形成方法
JP3956049B2 (ja) タングステン膜の形成方法
KR100373790B1 (ko) 성막방법및그장치
JP3574651B2 (ja) 成膜方法および成膜装置
KR100907968B1 (ko) 처리 챔버내의 클리닝 방법
JP5068713B2 (ja) タングステン膜の形成方法
JP2008514814A (ja) 熱化学気相成長プロセスにおけるルテニウム金属層の堆積
KR100688652B1 (ko) 텅스텐막의 형성 방법
JP2001053030A (ja) 成膜装置
US10879081B2 (en) Methods of reducing or eliminating defects in tungsten film
US6387445B1 (en) Tungsten layer forming method and laminate structure of tungsten layer
JPH09104986A (ja) 基板処理方法及びcvd処理方法
JPH116069A (ja) 処理装置およびステージ装置
JP4461507B2 (ja) 成膜装置
KR100505197B1 (ko) 막형성 방법
JP4329171B2 (ja) 成膜方法及び成膜装置
JP3835376B2 (ja) 成膜処理装置
JP4804636B2 (ja) 成膜方法
JP2000277458A (ja) クランプ機構及びこれを用いた成膜装置
JP2004225162A (ja) 成膜方法
JP4401481B2 (ja) 成膜方法
JP4877687B2 (ja) 成膜方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060622

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20061129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081209

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090205

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090310

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090323

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120410

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees