CN100373545C - 基板处理装置、基板处理方法及程序 - Google Patents

基板处理装置、基板处理方法及程序 Download PDF

Info

Publication number
CN100373545C
CN100373545C CNB2005100515831A CN200510051583A CN100373545C CN 100373545 C CN100373545 C CN 100373545C CN B2005100515831 A CNB2005100515831 A CN B2005100515831A CN 200510051583 A CN200510051583 A CN 200510051583A CN 100373545 C CN100373545 C CN 100373545C
Authority
CN
China
Prior art keywords
substrate
simulation process
implementation
processing
carried out
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2005100515831A
Other languages
English (en)
Other versions
CN1664987A (zh
Inventor
山崎悟史
桥本充
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1664987A publication Critical patent/CN1664987A/zh
Application granted granted Critical
Publication of CN100373545C publication Critical patent/CN100373545C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

作为基板处理装置的蚀刻处理装置(100),设置有搬送批次中包含的基板的旋转型臂(103);与对基板实施作为制品处理的蚀刻处理的处理室(106、107),由注册有搬送处方、制品处理用处方、模拟处理用处方的主机(200)所控制。主机(200)在处理室(106、107)的各自放置时间、即室放置时间不是超时时,判别在对前批次的蚀刻处理中所使用的处理室内的处理气氛为稳定状态,省略模拟处理,对批次基板实施蚀刻处理。由此提供能够使制品基板的生产性提高的基板处理装置。

Description

基板处理装置、基板处理方法及程序
技术领域
本发明是涉及基板处理装置、基板处理方法及程序,特别是涉及能够进行模拟处理(dummy process)的基板处理装置、基板处理方法及程序。
背景技术
制造半导体芯片的等离子体工艺中,利用等离子体与容器内壁的相互作用进行薄膜的蚀刻及在该蚀刻的薄膜上堆积金属的CVD(化学气相沉积)。由于在容器内是由高频等施加大的能量,所以等离子体与容器内壁的相互作用会引起以下大的问题。
等离子体CVD是在基板上生成薄膜的工艺,但是,当然也在容器内壁上堆积薄膜。另一方面,在蚀刻工艺中,由化学反应使基板上形成的膜反应而削减,该反应生成物会因等离子体而分解,黏附于容器内壁。这样,在持续等离子体工艺期间容器内壁会受到污染,还会对等离子体内的化学反应产生影响,使工艺的再现性(重复性)恶化。
所以,在半导体芯片的批量生产工厂,通过对设置有半导体芯片的制造装置的(基板处理装置)的真空容器进行定期清洗而提高半导体芯片制造装置的工作效率。此外,为了使真空容器的内壁状态保持一定,在清洗处理之后还进行陈化处理(时效)。在进行该陈化处理(seasoning)及半导体芯片的制造装置的暖气运行的同时,为了设定批次中所包含的基板的处理条件,在半导体芯片的制造装置中设置有在包含等离子体工艺的制品处理的实行前,对于非制品基板预先进行模拟处理功能。
现有,用于防止该模拟处理中人为操作的错误,利用在线控制实行将模拟处理及制品处理的时刻作为序列一并管理的自动处理。
在上述自动处理的第一方法中,通过在制品处理用处方中连接(注册)模拟处理用的处方(Dummy recipe)(模拟处理用处方连接功能),在对于批次的制品处理前,每次对非制品基板进行模拟处理(例如专利文献1)。
在上述自动处理的第二方法中,由保守管理功能而设定的处理室操作参数,例如基于处理室(处理室)中的RF(射频:radio frequency)电力的累计放电时间及处理的基板的枚数而决定清洗的周期间隔(例如专利文献2)。
专利文献1:日本专利特开2001-176763号公报;
专利文献2:美国专利第6168672号说明书
但是,在上述第一及第二的自动处理方法中,即使是在同一的处理条件下连续地对批次进行制品处理的情况等处理室内的处理气氛(状态)稳定时,由于每次或周期地模拟处理非制品板,所以不仅是无效地使用非制品板,而且还使生产能力(处理能力)下降,制品基板的生产性恶化。
而且,在处理室内处理气氛(状态)不稳定的情况下。需要进行多次的模拟处理。
发明内容
本发明的目的在于提供能够使制品基板的生产性提高的基板处理装置、基板处理方法及程序。
为了达到上述目的,发明方面1所述的基板处理装置的特征在于:在设置有用于对作为被处理体的基板实行规定处理的至少一个处理室、对于非制品基板实行模拟处理(仿真处理)的模拟处理单元、以及判别所述模拟处理的实行是否必要的判别单元的基板处理装置中,所述判别单元包含判别所述处理室内的状态是否稳定的稳定判别部件,所述模拟处理单元包含在由所述稳定判别部件判别了所述处理室内的状态是稳定状态时省略所述模拟处理的实行的模拟处理省略部件。
发明方面2所述的基板处理装置的特征在于:在发明方面1所述的基板处理装置中,所述稳定判别部件,在以所述规定处理终了时作为计时开始基准时刻的所述处理室的放置时间为规定时间之内时,判别所述处理室的状态为稳定。
发明方面3所述的基板处理装置的特征在于:在发明方面2所述的基板处理装置中,所述判别单元根据预先设定的模拟处理实行要件或模拟处理不实行要件而进行所述判别,所述模拟处理不实行要件包含所述放置时间为所述规定时间之内的情况。
发明方面4所述的基板处理装置的特征在于:在发明方面3所述的基板处理装置中,所述模拟处理不实行要件包含所述非制品基板不存在的情况。
发明方面5所述的基板处理装置的特征在于:在发明方面3所述的基板处理装置中,所述模拟处理不实行要件包含在实行对所述基板的所述规定处理之前不指定对所述非制品基板的模拟处理的实行的情况。
发明方面6所述的基板处理装置的特征在于:在发明方面3所述的基板处理装置中,所述模拟处理实行要件包含使由所述模拟处理省略部件设定的所述模拟处理的实行省略变为无效的情况。
发明方面7所述的基板处理装置的特征在于:在发明方面3所述的基板处理装置中,所述模拟处理实行要件包含所述处理室为离线(OFF LINE)的情况。
发明方面8所述的基板处理装置的特征在于:在发明方面3所述的基板处理装置中,所述模拟处理实行要件包含所述基板是由电源接通后最初的应处理的基板所构成的情况。
发明方面9所述的基板处理装置的特征在于:在发明方面3所述的基板处理装置中,所述模拟处理实行要件包含与所述基板相比在以前处理的基板,由离线处理的情况。
发明方面10所述的基板处理装置的特征在于:在发明方面3所述的基板处理装置中,所述模拟处理实行要件包含所述基板是由所述处理室的维修后最初的应处理的基板所构成的情况。
发明方面11所述的基板处理装置的特征在于:在发明方面3所述的基板处理装置中,所述模拟处理实行要件包含与所述基板相比对于以前处理实行中的基板,实行强制终了正在实行的处理的异常中断处理的情况。
发明方面12所述的基板处理装置的特征在于:在发明方面1~11中任一项所述的基板处理装置中,所述模拟处理单元,在由所述稳定判别部件判别了所述处理室内的状态为非稳定时,实行一次所述模拟处理。
发明方面13所述的基板处理装置的特征在于:在发明方面12所述的基板处理装置中,所述实行的模拟处理与对于所述基板实行的所述规定处理相比处理时间要长。
发明方面14所述的基板处理装置的特征在于:在发明方面12所述的基板处理装置中,所述实行的模拟处理与对于所述基板实行的所述规定处理相比其处理所必要的功率的设定值要高。
发明方面15所述的基板处理装置的特征在于:在发明方面1~14中任一项所述的基板处理装置中,所述判别单元对包含所述基板的每一批次进行所述判别。
发明方面16所述的基板处理装置的特征在于:在发明方面1~15中任一项所述的基板处理装置中,具有异常中断处理设定装置,在相比于所述基板而对以前实行处理中的基板进行强制终了实行中的处理的异常中断处理之后,容许设定是否对所述基板实行所述异常中断处理。
发明方面17所述的基板处理装置的特征在于:在发明方面1~16中任一项所述的基板处理装置中,设置有将表示是否省略了所述模拟处理的实行的日志加以记录的日志记录装置。
为了达到上述目的,发明方面18所述的一种基板处理方法的特征在于:该基板处理装置具有用于对作为被处理体的基板实行规定处理的至少一个处理室,该基板处理方法包括:对于非制品基板实行模拟处理的模拟处理步骤;和判别所述模拟处理的实行是否必要的判别步骤,所述判别步骤包含判别所述处理室内的状态是否稳定的稳定判别步骤,所述模拟处理步骤包含当在由所述稳定判别步骤中判别了所述处理室内的状态是稳定状态时,省略所述模拟处理的实行的模拟处理省略步骤。
发明方面19所述的基板处理方法的特征在于:在发明方面18所述的基板处理方法,在所述稳定判别步骤中,在以所述规定处理的实行终了时作为计时开始基准时刻的所述处理室的放置时间为规定时间之内时,判别所述处理室的状态为稳定。
发明方面20所述的基板处理方法的特征在于:在发明方面19所述的基板处理方法,在所述判别步骤中,根据预先设定的模拟处理实行要件或模拟处理不实行要件而进行所述判别,所述模拟处理不实行要件包含所述放置时间为所述规定时间之内的情况。
发明方面21所述的基板处理方法的特征在于:在发明方面20所述的基板处理方法中,所述模拟处理不实行要件包含所述非制品基板不存在的情况。
发明方面22所述的基板处理方法的特征在于:在发明方面20所述的基板处理方法中,所述模拟处理不实行要件包含在实行对于所述基板的所述规定处理之前不指定对所述非制品基板的模拟处理的实行的情况。
发明方面23所述的基板处理方法的特征在于:在发明方面20所述的基板处理方法中,所述模拟处理实行要件包含设定所述模拟处理省略步骤中的所述模拟处理的实行省略变为无效的情况。
发明方面24所述的基板处理方法的特征在于:在发明方面20所述的基板处理方法中,所述模拟处理实行要件包含所述处理室为离线(OFF LINE)的情况。
发明方面25所述的基板处理方法的特征在于:在发明方面20所述的基板处理方法中,所述模拟处理实行要件包含所述基板是由电源接通后的最初应处理的基板所构成的情况。
发明方面26所述的基板处理方法的特征在于:在发明方面20所述的基板处理方法中,所述模拟处理实行要件包含相比于所述基板以前处理的基板由离线处理的情况。
发明方面27所述的基板处理方法的特征在于:在发明方面20所述的基板处理方法中,所述模拟处理实行要件包含所述基板是由所述处理室的维修后最初的应处理的基板所构成的情况。
发明方面28所述的基板处理方法的特征在于:在发明方面20所述的基板处理方法中,所述模拟处理实行要件包含与所述基板相比对于在以前实行处理之中的基板,实行强制终了实行中的处理的异常中断处理的情况。
发明方面29所述的基板处理方法的特征在于:在发明方面18~28中任一项所述的基板处理方法中,所述模拟处理步骤,当在所述稳定判别步骤中判别了所述处理室内的状态为非稳定时,实行一次所述模拟处理。
发明方面30所述的基板处理方法的特征在于:在发明方面29所述的基板处理方法中,所述实行的模拟处理与对所述基板实行的所述规定处理相比处理时间要长。
发明方面31所述的基板处理方法的特征在于:在发明方面29所述的基板处理方法中,所述实行的模拟处理与对所述基板实行的所述规定处理相比该处理所必要的功率的设定值要高。
发明方面32所述的基板处理方法的特征在于:在发明方面18~31中任一项所述的基板处理方法中,所述判别步骤对包含所述基板的每一批次进行所述判别。
发明方面33所述的基板处理方法的特征在于:在发明方面18~32中任一项所述的基板处理方法中,具有异常中断处理设定步骤,在与所述基板相比对以前实行处理之中的基板进行强制终了实行中的处理的异常中断处理之后,容许设定是否对所述基板实行所述异常中断处理。
发明方面34所述的基板处理方法的特征在于:在发明方面18~33中任一项所述的基板处理方法中,设置有将表示是否省略了所述模拟处理的实行的日志加以记录的日志记录步骤。
为了达到上述目的,发明方面35所述的程序的特征在于:使基板处理装置的基板处理方法由计算机实行,该基板处理装置具有用于对作为被处理体的基板实行规定处理的至少一个处理室,该程序包括:对非制品基板实行模拟处理的模拟处理模块、以及判别所述模拟处理的实行是否必要的判别模块,所述判别模块包含判别所述处理室内的状态是否稳定的稳定判别模块,所述模拟处理模块包含在由所述稳定判别模块判别为所述处理室内的状态是稳定状态时省略所述模拟处理的实行的模拟处理省略模块。
根据发明方面1所述的基板处理装置、发明方面18所述基板处理方法或发明方面35所述的程序,由于在判别了处理室内的状态为稳定时省略了模拟处理的实行,所以能够提高制品基板的生产性。
根据发明方面2所述的基板处理装置及发明方面19所述基板处理方法,由于在以规定处理的实行终了时作为计时开始基准时刻的处理室的放置时间为规定时间之内时,判别所述处理室的状态为稳定,所以能够进一步确实提高制品基板的生产性。
根据发明方面3所述的基板处理装置及发明方面20所述的基板处理方法,由于根据预先设定的模拟处理实行要件或模拟处理不实行要件而进行所述处理室内的状态是否为稳定的判别,能够确实进行模拟处理的实行是否不需要的判别。
根据发明方面4所述的基板处理装置或发明方面21所述的基板处理方法,由于将非制品基板不存在的情况作为模拟处理不实行要件,所以在这种情况下能够确实停止模拟处理的实行。
根据发明方面5所述的基板处理装置或发明方面22所述基板处理方法,由于在对基板实行规定处理之前不指定对非制品基板的实行模拟处理的情况作为模拟处理不实行要件,所以在这种情况下能够确实停止模拟处理的实行。
根据发明方面6所述的基板处理装置或发明方面23所述基板处理方法,由于将按照模拟处理的实行的省略变为无效的方式设定的情况作为所述模拟处理实行要件,所以在这种情况下能够确实进行模拟处理。
根据发明方面7所述的基板处理装置或发明方面24所述基板处理方法,由于将所述处理室为离线的情况作为所述模拟处理实行要件,所以在这种情况下能够确实进行模拟处理。
根据发明方面8所述的基板处理装置或发明方面25所述基板处理方法,由于将所述基板是由电源接通后的最初的应处理的基板所构成的情况作为所述模拟处理实行要件,所以在这种情况下能够确实进行模拟处理。
根据发明方面9所述的基板处理装置或发明方面26所述基板处理方法,由于将以前处理过的基板由离线处理的情况作为所述模拟处理实行要件,所以在这种情况下能够确实进行模拟处理的实行。
根据发明方面10所述的基板处理装置或发明方面27所述基板处理方法,由于将基板是由所述处理室维修后的最初的应处理的基板所构成的情况作为模拟处理实行要件,所以在这种情况下能够确实进行模拟处理的实行。
根据发明方面11所述的基板处理装置或发明方面28所述基板处理方法,由于将对于以前实行处理的基板实行中断处理的情况作为模拟处理实行要件,所以在这种情况下能够确实进行模拟处理的实行。
根据发明方面12所述的基板处理装置或发明方面29所述基板处理方法,由于在判别了所述处理室内的状态为非稳定时,实行一次模拟处理,所以能够提高制品基板的生产性。
根据发明方面13所述的基板处理装置或发明方面30所述基板处理方法,由于与对基板实行的所述规定处理相比,处理时间变长地进行模拟处理,所以由一次的模拟处理就能够确实使处理室内的状态稳定。
根据发明方面14所述的基板处理装置或发明方面31所述基板处理方法,由于与对基板实行的上述规定处理相比,以其处理所需要的功率的设定值变高地进行模拟处理,所以由一次的模拟处理就能够确实使处理室内的状态稳定。
根据发明方面15所述的基板处理装置或发明方面32所述基板处理方法,由于对包含基板的每一批判别处理室内的状态是否为稳定,所以能够提高每一批次的制品基板的生产性。
根据发明方面16所述的基板处理装置或发明方面33所述基板处理方法,由于容许针对于在对以前实行处理的基板进行异常中断处理后的基板设定是否进行异常中断处理,所以在设定了对基板进行异常中断处理的时候,不需要判别处理室内的状态是否稳定,可提高生产率。
根据发明方面17所述的基板处理装置或发明方面34所述基板处理方法,由于将表示是否省略了模拟处理的实行的日志加以记录,所以能够容易地收集日志信息,提高用户的便利性。
附图说明
图1是表示包含本实施方式中的基板处理装置的基板处理系统结构的概略模块图。
图2是概略表示图1的蚀刻处理装置的结构的俯视图。
图3是用于说明图1的主机中预先安装有的制品处理用处方所使用的图。
图4是用于说明图1的主机中预先安装有的搬送处方所使用的图。
图5是用于说明在图4的搬送处方的显示画面中可设定的模拟处理所使用的图。
图6是表示在图1的主机的显示画面中,在制品处理批次的搬送开始前显示的开始确认画面的图。
图7是用于说明图5中设定的模拟处理的详细实行要件所使用的图。
图8是图1的主机中实行的基板处理控制处理的流程图。
图9(a)是表示主机中记录的工艺日志的一例的图,(b)是表示作为对非制品基板的模拟处理的实施结果的模拟处理日志的一例的部分图。
图10是详细表示图8的步骤S809中所实行的模拟处理的流程图。
图11是概略表示本实施方式中的基板处理装置的第一变形例的结构的图。
图12是概略表示本实施方式中的基板处理装置的第二变形例的结构的图。
符号说明:100蚀刻处理装置;100′、100”基板处理装置;101、102晶片盒腔室;103旋转型臂;104传送室;106、107处理室;200主机;1000基板处理系统。
具体实施方式
下面参照附图详细说明本发明的实施方式。
图1是表示包含本实施方式的基板处理装置的基板处理系统结构的概略模块图。
图1所示的基板处理系统1000,设置有:至少一台作为基板处理装置的蚀刻处理装置100、用于将收存有半导体基板(以下简称基板)的后述晶片晶片盒搬送到蚀刻处理装置100的自动搬送装置(AGV:Auto Guided Vehicle)、和通过网络连接于该AGV及蚀刻处理装置100的主机(主计算机)200。
在主机200中,后述的搬送处方、制品处理用处方、以及模拟处理用处方作为程序而预先注册安装,构成为,基于利用在线的主机200的无人控制,蚀刻处理装置100,自动实行搬送模拟处理用的非制品基板、制品处理未进行的基板及已经处理的基板的工序、后述的模拟处理工序、和后述的蚀刻处理(制品处理)中的各工序。
图2是概略表示图1中蚀刻处理装置100的结构的俯视图。
在图2中,蚀刻处理装置100设置有:载置从AGV搬送来的晶片盒的晶片盒腔室(C/C)101、102,与晶片盒腔室101、102相邻接,且内部设置有后述的旋转型臂103的传送室(T/C)104,与传送室104相邻接的预排列部(P/A:Pre-Alignment section)105,和与传送室104相邻接的处理室(P/C)106、107。
在晶片盒中收存有模拟处理用的非制品基板、制品处理未进行的基板及已经处理的基板(W)。非制品基板收存于晶片盒的最下段,例如3枚。传送室104的旋转型臂103具有在晶片盒腔室101、102、预排列部105、处理室106、107的各个室之间每次搬送1枚基板的结构。
在处理室106、107内,基于主机200中预先设定的制品处理用处方,在真空处理气氛下发生等离子体。等离子体可以通过在处理室具有的相互平行的上部电极及下部电极(未图示)之间施加高频(RF:射频radio frequency)电力而发生。
蚀刻处理装置100,基于后述的蚀刻条件,在包含处理室106、107内发生的等离子体的处理气氛下对基板实施蚀刻处理。模拟处理是为了在对基板实行蚀刻处理前使处理气氛、特别是使温度与压力稳定而实行的,或者是以该装置的动作试验及暖机运行、清洗及清洗后的陈化(时效)为目的而实施。而且,模拟处理,也是为了设定上述晶片盒中收存的批次中的基板的处理条件而实行。
图3是为了说明图1的主机中预先注册的制品处理用处方所使用的图。
如图3所示,制品处理用处方,通过主机200的显示画面而设定于主机200,连接(注册)于后述的搬送处方。制品处理用处方,分别与处理室106、107相对应而设置,输入详细的蚀刻条件等。在蚀刻条件中有,蚀刻处理(主步骤)的处理时间、处理气体,例如氟化碳(CF类)气体、氟化碳氢(CHF类)气体、溴化氢(HBr)气体、氯气(Cl2)、氩气(Ar)、一氧化碳(CO)气体、以及氧气(O2)的供给流量的值、处理气体的混合比、处理室内的压力值、上部电极板与下部电极板之间的距离、对上部电极板及下部电极板应该施加的电力值、意味着排气时间的停留时间(residence time)τ、基板的温度等。
以下对蚀刻处理装置100中的基板搬送动作加以说明。该基板搬送动作是基于主机200中预先设定的搬送处方而实行。
首先,旋转型臂103将晶片盒内收存的制品处理未处理的基板及模拟处理用的非制品基板搬送到预排列部105,在预排列部105,其外周设置的平坦面即定位平面(Orientation flat)预排列(决定位置)后的基板,被搬入处理室106、107中的一个。蚀刻处理后的基板,搬入晶片盒腔室101、102中一方的晶片盒内、例如与处理前的收存位置相同的位置。
图4是用于说明图1的主机200中预先注册的搬送处方所使用的图。
如图4所示,搬送处方分别与晶片盒腔室101、102的各个相对应而设置,通过主机200的显示画面而由主机200指定。作为搬送处方,例如可以指定应该由旋转型臂103搬出的基板,是制品处理未处理的基板及模拟处理用的非制品基板中的哪一个,应该将基板搬出搬入的晶片盒是晶片盒腔室101、102中的哪一个,应该将基板搬入的处理室是处理室106、107中的哪一个等。
图5是用于说明图4的搬送处方中可设定的模拟处理所使用的图。
如图4及图5所示,在主机200的搬送处方的显示画面中,设置有能够变更模拟处理的连接按钮400,通过连接按钮400能够设定可否实行模拟处理以及实行时应该使用的非制品基板的枚数(模拟处理用处方连接功能)。由于在搬送处方的显示画面中设置有连接按钮400,所以模拟处理用处方的设定能够与搬送处方同样容易变更,能够防止人为的操作错误的发生。
在图5的显示画面中设定了实行模拟处理的情况下,在对于晶片盒内收存的批次实行蚀刻处理之前仅对设定的枚数实行模拟处理。
在图5的显示画面设定的模拟处理可进一步设定其详细的实行条件。(图7)
图6是在图1的主机200的显示画面中,表示在制品处理批次的搬送开始前显示的开始确认画面的图。
如图6所示,在该开始确认画面中,也能够变更是否实行设定的模拟处理的设定(批次稳定模拟处理功能)。而且,也能够变更设定有的模拟处理的设定。由此,能够防止处理的设定遗漏、设定值的输入错误、选择按钮的选择错误等人为的操作错误的发生。
图7是用于说明图5中设定的模拟处理的详细实行要件所使用的图。
在图7所示的主机200的显示画面中,蚀刻处理装置100的构成参数的设定值能够变更。还有,构成参数的各设定值,在蚀刻处理装置100的处理室106、107为停机时间(Idle time:空载时间)时能够变更。
通过变更上述构成参数的项目中所包含的参数600、即处理室106、107的各放置时间的“室放置时间”的设定值,能够决定模拟处理的详细实行要件。
参数600的初期设定值是时间“00:00:00”,设定时间“00:00:00”的情况下,主机200与现有的模拟处理同样,控制蚀刻处理装置100,在对于批次实行蚀刻处理之前,对于非制品基板每次实行模拟处理。
作为参数600的设定值而输入规定的时间时,主机200对将以上述主步骤、即对于批次的蚀刻处理的实行终了时作为计时开始基准时刻而输入的规定时间进行计时。过了该规定时间时与现有的模拟处理同样,在对于批次实行蚀刻处理之前,每次对非制品基板实行模拟处理。在该规定时间经过前,对于下一批次(以下称为“后批次”)的蚀刻处理的实行开始的情况下,与现有的模拟处理同样实行的本来的模拟处理省略(模拟处理改善功能)。
参数600的设定值的下限,除了表示模拟处理改善功能无效的时间“00:00:00”之外,例如优选为15分钟左右。通过将参数600的设定值的下限设定为15分钟左右,能够使室的放置时间延长,使其大于连续搬送的批次间的时间。还有,虽然室的放置时间可也以设置得比连续搬送的批次间的时间短,例如1秒,但是,在连续搬送批次的情况下,模拟处理改善功能没有作用,对于非制品基板实行模拟处理。
而且,参数600的设定值的上限,优选是用户在对上次的批次(以下称为“前批次”)的制品处理中使用的处理室内的处理气氛,特别是温度及压力能够维持稳定状态的时间左右,例如3小时作用,更优选为1小时左右。就是说,参数600的上限值,由于是由经验所决定,所以不限于温度与压力,可以基于对前批次的制品处理的时间、蚀刻速率(etch rate)、选择比、均匀性等来决定参数600的设定值的上限。
上述模拟处理的实行是否有必要的判别,具体地如下进行。
图8是图1的主机200中实行的基板处理控制处理的流程图。
在图8中,首先,在步骤S800中,判别晶片盒中是否有收存的非制品基板,在有非制品基板的情况下,判别在对收存的批次实行蚀刻处理之前是否指定了对非制品基板实行模拟处理(步骤S801)。在没有非制品基板的情况下,或没有指定模拟处理的情况下,进入后述的步骤S810。
在步骤S801的判别结果,指定了模拟处理的实行时,判别模拟处理改善功能是否无效(步骤S802)。具体地,判别参数600的设定值是否为“00:00:00”。在模拟处理改善功能有效,即判别参数600的设定值不是“00:00:00”时,判别处理室106、107是否在线(即是否与主机200相连接)(步骤S803),在线时,在电源从断开OFF到接通ON之后,判别是否是对最初批次的最初应处理基板的蚀刻处理的实行开始之前(步骤S804)。
在步骤S804的判别结果,不是电源接通后最初批次的最初基板时,判别前批次是否在离线状态下进行了蚀刻处理(步骤S805),在前批次在离线状态下进行了蚀刻处理时,判别在处理室(P/C)106、107检修后是否有进行了蚀刻处理的基板或模拟处理的非制品基板(步骤S806),在具有检修后进行过处理的基板或模拟处理的非制品基板时,判别前批次是否由后述的异常中断功能进行了异常中断处理(步骤S807)。所谓异常中断功能,是指在连续搬送批次的情况下,在对前批次的蚀刻处理进行中发生错误时,强制终止基板的搬送及蚀刻处理实行的功能。在连续搬送的批次中,在前批次被异常中断处理过的情况下,后批次也自动地异常中断。
在步骤S807的判别结果,没有异常中断处理的批次时,进入步骤S808的处理,以前批次的主步骤(蚀刻处理)实行终了时作为计时开始基准时刻而判别是否经过了参数600即“室放置时间”中设定的规定时间(超时)(步骤S808)。
还有,在上述步骤S808中室放置时间的计时开始之前,实行制品处理用处方,首先,对上部电极板及下部电极板施加RF电力时,设置未图示的时间值为“0”,接着,在主步骤(参照图3,例如步骤1~步骤24(STEP1~STEP24),还有,仅由图3中步骤1~步骤6表示)的实行中,保持设置的时间值“0”,该保持在主步骤终了的同时解除。时间值“0”的保持解除后,如果进行用于再试行主步骤的再试行处理,再次施加RF电力,再将时间值设定为“0”,但在由上述中断处理强制终了,即中断的情况下,时间值不再设定为“0”。
在步骤S802~S808的判别结果,满足模拟处理实行要件的情况下,即模拟处理改善功能无效时(步骤S802中“否”)、处理室106、107为离线时(步骤S803中“否”)、是电源接通后最初的批次时(步骤S804中“是”)、前批次为离线时(步骤S805中“是”)、没有检修后处理的基板时(步骤S806中“是”)、有异常中断处理的批次时(步骤S807中“是”)、或者是室的放置时间为超时(步骤S808中“是”),在步骤S809中对非制品基板实施后述图10的模拟处理,进入后述的步骤S810,对批次中包含的全部基板实施制品处理(蚀刻处理),本处理终了。由此在应该实行模拟处理的不规则状况之后,由于在步骤S810的制品处理实行前每次对1~3枚的非制品基板实行模拟处理,所以能够确实使处理室106、107内的处理气氛稳定化。
而且,在步骤S808的判别结果,室放置时间不是超时时,由于对前批次的蚀刻处理中所使用的处理室内的处理气氛为稳定状态(维持着),所以省略步骤S809的模拟处理,进入步骤S810,在步骤S810,对批次中包含的全部基板实施蚀刻处理(制品处理),本处理终了。
根据图8的处理,由于在室放置时间不是超时(步骤S808中“否”),判别了对于前批次的蚀刻处理中所使用的处理室内的处理气氛维持为稳定状态,省略步骤S809的模拟处理,实施对批次的基板的蚀刻处理(步骤S810),所以能够抑制非制品基板的消费量,同时能够提高制品基板的生产性。
还有,在图8的步骤S804~808的各判别处理中,在处理室106、107的至少一方是应该判别为““是””的对象处理室的情况下,进入步骤S809的处理。
图8的步骤S804~808的判别处理,优选能够在批次中包含的最初基板的搬送可以开始的状态下进行。
以下说明本实施方式中基板处理方法的第一变形例。
在本变形例中,基板处理系统构成为,由在上述步骤S807中判断的异常中断处理可设定是否自动地对后批次异常中断。具体地是,对应于主机200中设定的可变更的结构参数而设定,而且前批次及后批次是否中断,记录到作为全部处理的日志,即工艺日志(参照后述的图9(a))。
所以,即使是在前批次与后批次通过共用的传送室104进行制品处理的情况下(图2),也可以设定得不对后批次实行自动的异常中断处理,而实行制品处理。在这种情况下,由于在上述步骤S807中判别了对前批次实行了中断处理,在步骤S809中每次实行模拟处理,所以不需要进行步骤S808中基于室放置时间的是否有必要实行模拟处理的判别处理(参数600“室放置时间”强制终了选项)。
以下说明本实施方式中基板处理方法的第二变形例。
在本变形例中,在基板处理系统中,对于非制品基板的模拟处理的实施结果,在主机200中记录为作为全部处理日志的工艺日志,或模拟处理日志。
图9(a)是表示主机200中记录的工艺日志的一例的图,图9(b)是表示对于非制品基板的模拟处理的实施结果的模拟处理日志的一例的部分图。
在图9(a)所示的工艺中,以批次单位记录全部处理,在各批次中,在最左侧的列内表示有无实行上述异常中断处理、有无错误处理过的基板(错误基板)。例如,在有异常中断处理的情况下用“■”表示,没有的情况下用“○”表示;而在没有错误基板的情况下用“○”表示,有的情况下用“”表示。就是说,在正常处理终了的情况下用“○”表示。在图9(a)的例中,由于全部的工作都是正常的终了,所以仅用“○”表示。
而且,在图9(a)中,批次名中包含有“—ST”的批次,是由基于模拟处理处方连接功能或批次稳定模拟处理功能而模拟处理过的非制品基板所构成的批次。批次名中包含有“—PL”的批次,是由基于等离子体清洗用处方连接功能而模拟处理的非制品基板所构成的批次。
在图9(a)的显示画面中,在选择了批次的状态下,通过按下基板(晶片)一览按钮,转移到图9(b)所示的显示画面。
图9(b)是用于一览在图9(a)中选择了批次名中包含有“—ST”的批次的情况下的该批次中所包含的基板的显示画面。如图9(b)所示,对3枚非制品基板赋予相互不同的模拟位置编号“—Dx”,可以由用户目视确认对哪个非制品基板实施了模拟处理,和对哪些非制品基板省略了模拟处理的实行。由此,在蚀刻处理装置100中发生故障时,能够从工艺日志及模拟处理日志收集日志信息。
近年来,伴随着半导体加工装置的加工部分的微细化,在等离子体蚀刻装置中,要求CD(微小尺寸、临界尺寸:Critical dimension)形状控制性优异、且稳定的加工性能。例如,为了制造在基板的绝缘膜上形成的线宽为90nm左右的半导体设备,在绝缘膜蚀刻中,作为需求要求:(1)由蚀刻所形成的线状槽的纵横比为20以上的HARC(高纵横比接触:High Aspect Ratio Contact)的形状控制是高度;(2)栅极用氟化氩(ArF)/硬掩模蚀刻中的CD控制性是高度;以及(3)芯片制造工序中可与在基板的希望场所埋入金属的镶嵌工艺中所使用的参数k值为2.5以下的低k(Low-k)材料对应的程度高的加工性等。而且,这些需求非常高度化,例如要求上述线宽为65nm以下。
所以,等离子体蚀刻装置,与现有的相比能够更高度且微细地控制温度,通过稳定维持控制的温度,能够更加提高处理室内处理气氛的稳定状态,实现更优异的CD形状的控制。例如,对于直径为300mm的基板,为了以90nm的线宽进行蚀刻处理,要求处理室内处理的温度气氛为在115~121℃之间为稳定状态。
为了使上述温度气氛稳定化,通常使用2~3枚非制品基板。即需要进行2~3次的模拟处理。这是由于仅实行一次的模拟处理仅能够使处理室内的温度到达104~110℃左右,温度气氛不是稳定状态,在其后的制品处理中批次中最初处理的1~2枚基板是制品基板的规格之外。
因此,本发明者在与制品处理用处方同样的通常模拟处理用处方(模拟处理的通常模式)中,准备将最影响温度气氛的稳定状态的步骤,即在最高温度进行处理的主步骤的设定的一部分进行变更的模拟处理用动作模式,即后述的长时间模式及高功率模式,通过由其中至少一个模式在蚀刻处理装置100实行步骤S809中的模拟处理,即使是仅实行一次的模拟处理,也能够提高温度气氛的稳定状态。由此,与现有相比能够减少模拟处理的次数也就是模拟处理所需要的时间,同时非制品基板或制品处理中规格之外的基板的枚数也能够减少。因此蚀刻处理装置100的生产能力及生产性能够得到提高。
在上述长时间模式中,主步骤(蚀刻处理)的处理时间比通常模式时间长。例如,模拟处理的通常模式中设定的作为主步骤的处理时间的5分钟变为7分钟。
在上述长时间模式中,优选能够与基板的直径及处理气体的种类等对应,设定由经验或实验预先决定的主步骤的处理时间。而且,该处理时间还可以根据处理气氛,例如由配置于上部电极的清洗板(未图示)所测定的处理室106、107的中心部(Center)、中央部(Middle)、及端部(Edge)的温度所决定。还有,由于该处理时间过长时会造成能源的浪费,所以优选设定在直到通常的2倍之间。
而且,在上述高功率模式中,为了在主步骤中产生RF功率而施加的功率比通常模式要高。
在上述高功率模式中,与长时间模式同样,优选能够与基板的直径及处理气体的种类等对应,设定由经验或实验预先决定的RF电力的功率设定值。而且,优选该RF电力的功率设定值根据处理气氛而决定。还有,由于该RF电力的功率设定值过高时会造成能源的浪费,所以优选设定在直到通常的2倍之间。
图10是详细表示图8的步骤S809中所实行的模拟处理的流程图。
在图10中,首先,在步骤S1001中,判别是否变更模拟处理的动作模式。作为动作模式,除了将与上述步骤S810的制品处理同样的处理作为模拟处理而实行的通常模式之外,还有上述高功率模式与长时间模式。还有,上述通常模式,作为通常应选择的动作模式而作为标准设定。
在步骤S1001中向高功率模式与长时间模式的变更,在处理室内的处理气氛不是稳定状态时,例如优选能够将图7中的参数600,即“室放置时间”设定为1小时以上,优选该时间在上述步骤S808在超时时实行。而且,向高功率模式与长时间模式的变更,优选能够在处理气氛要求高稳定状态时,例如在处理直径为300mm以上的基板时,或对于基板的蚀刻线宽在90nm以下时实行。
变更模拟处理的动作模式时(步骤S1001中的”是”),选择高功率模式与长时间模式中的一个(步骤S1002或步骤S1003中的”是”,及步骤S1004),进入步骤S1005。在步骤S1005,判别是否将选择的高功率模式与长时间模式中的一个设定为标准设定,在作为标准设定而设定的情况下,进入步骤S1006,在不设定为标准设定的情况下,跳过步骤S1006进入步骤S1007。
另一方面,在动作模式不变更时(步骤S1001中的”否”),或高功率模式与长时间模式都未选择的情况下(步骤S1002或步骤S1003中的”否”),选择标准设定的通常模式(步骤S1006),进入步骤S1007。而且,在以选择的高功率模式与长时间模式的一种作为标准设定而设定的情况下(步骤S1002或步骤S1003中的”是”,及步骤S1005中的”是”),选择作为该标准设定的模拟处理用动作模式(步骤S1006),进入步骤S1007。
在步骤1007中,由选择的模拟处理用动作模式对非制品基板实施模拟处理。就是说,选择的动作模式是高功率模式与长时间模式的一种时,由该动作模式仅对一枚非制品基板实施模拟处理,在选择的动作模式是通常模式时,由通常模式对至少一枚,例如3枚的非制品基板实施模拟处理。
根据图10的处理,对应于步骤810的制品处理中要求的处理气愤的稳定状态的高度,将模拟处理的动作模式变更为高功率模式或长时间模式(步骤S1004),由于是由变更的动作模式仅实行一次的模拟处理,所以能够提高蚀刻处理装置100的生产性。
还有,在上述动作模式中,也可以不是高功率模式或长时间模式中的一种,而且,还可以进而设置组合双方的处方的模式。而且,对应于动作模式的程序,可以是对应于各动作模式分别地准备,也可以是准备与通常模式相对应的程序,以及将该程序与高功率模式或长时间模式相吻合而变更的程序。
而且,在图10的处理中,是变更动作模式,但也可以是由用户能够改变在通常的模拟处理处方中的主步骤的处理时间及RF电力功率的设定值的结构,取代上述变更动作模式。
还有,在上述实施方式中,由于是根据处理气体的种类将处理气氛变化为不适应于制品化处理的气氛,所以优选在上述模拟处理用处方中,在主步骤之后,根据必要追加用于实行例如使用氧气单一气体的干式清洗的步骤。作为不适合于上述制品处理的气氛,例如有因包含C4F6的处理气体而在基板上容易发生堆积物(微粒)的气体。
而且,作为本实施方式的基板处理装置,并不限于图2所示的蚀刻处理装置100,还可以是以下的装置。
图11是概略表示本实施方式中基板处理装置的第一变形例的结构的图。
在图11中,作为本实施方式中基板处理装置的第一变形例的基板处理装置100′,设置有:包含对基板W实施使用等离子体的反应性离子蚀刻(RIE)处理的处理室(P/C)的第一工艺室111;与该第一工艺室111平行配置、包含对实施了RIE处理的基板W实施COR(化学氧化去除)处理及PHT(后续加热处理)处理的处理室(P/C)的第二工艺室112;作为与第一工艺室111及第二工艺室112分别相连接的矩形共用搬送室(T/C)的负载单元113;与负载单元113相连接的3个箍环载置台115;与负载单元113相连接、作为对搬入的基板W预先调整位置的预调整部(P/A)的取向器116;对连接于负载单元113的基板W的表面状态进行测量的第一及第二IMS(综合测量系统,Therma-Wave公司生产)117、118;以及与负载单元113相连接的操作控制器88。在各箍环载置台115上,载置有作为能够收存包含模拟处理用非制品基板在内的25枚基板W的容器的环(前开式统一容器:Front Opening Unified Pod)114。
图12是概略表示本实施方式中基板处理装置的第二变形例的结构的图。
在图12中,作为本实施方式中基板处理装置的第二变形例的基板处理装置100”,设置有包含对每一枚基板W实施成膜处理、扩散处理、蚀刻处理等各种处理的处理室(P/C)的基板处理部202;收存基板W、并收存在基板处理部202中处理过的基板W的基板收存部3;以及在基板处理部202与基板收存部3之间搬送基板W的传送室(T/C)203。基板处理部202具有与T/C203相连接的6个P/C6A~P/C6F。
基板收存部3具有能够载置4个箍环10A~10D的箍环载置台11;与T/C203相连接的2个负载锁定室(L/L室)9A、9B;配置于箍环载置台11与L/L室9A、9B之间的大气搬送体系的负载单元12;作为进行基板W的位置决定(预先取向)的预排列部(P/A)的取向器18;以及在L/L室9A、9B的下方、在负载单元12的前侧侧面分别安装的2个非制品基板用箍环(未图示)。各箍环10A~10D,例如收存25枚基板W,各非制品基板用箍环,收存规定枚数的基板处理装置100”的试运行等时使用的模拟处理用非制品基板W。
如上所述,根据本第二变形例的基板处理装置100”,由于比图2的蚀刻处理装置100多配置了6个P/C6A~6F,所以能够同时更多实行基板W的基板处理,能够提高基板处理装置的处理效率。
进而,在上述实施方式中,处理的基板是半导体基板,但处理的基板并不限于此,例如也可以是LCD及FPD(平面板显示:Flat PanelDisplay)等玻璃基板。
本发明向计算机或CPU提供实施上述实施方式的功能的软件程序,通过该计算机或CPU读出该供给的程序并加以实行而达到上述目的。
而且,上述程序只要是由计算机能够实现上述实施方式的功能即可,其形式可以是目标码、由译码所实行的程序,供给于OS的手写数据等形式。
而且,本发明的目的,还可以通过将记录有实现实施方式的功能的软件程序码的记录介质供给到系统或装置,该系统或装置的计算机(CPU或MPU等)读出存储介质中收存的程序编码并实行而实现。
在这种情况下,从存储介质读出的程序编码就能够实现上述实施方式的功能,该程序编码及存储有该程序编码的存储介质构成本发明。
而且,作为用于供给程序编码的存储介质,例如可以使用:软盘(注册商标),硬盘,光磁盘,CD-ROM,CD-R,CD-RW,DVD-ROM,DVD-RAM,DVD-RW,DVD+RW,磁带,非易失性存储卡,ROM等。而且,上述程序,可以从与互联网、商用网、区域网等相连接的未图示的其它计算机及数据库等下载而供给。
而且,通过实行计算机读出的程序编码,不仅能够实现上述实施方式的功能,而且还包含根据该程序编码的指示,进行计算机上工作的OS(操作系统)等实际处理的全部或一部分,根据该处理而实现上述实施方式的功能的情况。
进而,从存储介质读出的程序编码,在写入插入计算机的功能扩张端口或连接于计算机的功能扩张单元中所设置的存储器之后,基于该程序编码的指示,该功能扩张端口或连接于计算机的功能扩张单元中所设置的CPU等进行实际处理的全部或一部分,根据该处理而实现上述实施方式的功能。
以上对本发明的实施方式进行了说明,但本发明并不限于这些实施方式的结构,只要构成了达到由发明方面的范围所示的功能,以及实施方式的结构所具有的功能,都可适用本发明。
本发明的实施方式中的基板处理装置,例如能够适用于包含等离子体处理装置的半导体基板处理装置及半导体芯片制造装置等。

Claims (32)

1.一种基板处理装置,其特征在于:
该基板处理装置设置有用于对作为被处理体的基板实行规定处理的至少一个处理室、对于非制品基板实行模拟处理的模拟处理单元、以及判别所述模拟处理的实行是否必要的判别单元,
所述判别单元包含判别所述处理室内的状态是否稳定的稳定判别部件,所述模拟处理单元包含在由所述稳定判别部件判别了所述处理室内的状态是稳定状态时省略所述模拟处理的实行的模拟处理省略部件,
所述稳定判别部件,在以所述规定处理的实行终了时作为计时开始基准时刻的所述处理室的放置时间为规定时间之内时,判别所述处理室的状态为稳定,
所述规定时间比连续搬送的批次间的时间长。
2.根据权利要求1所述的基板处理装置,其特征在于,
所述判别单元根据预先设定的模拟处理实行要件或模拟处理不实行要件而进行所述判别,所述模拟处理不实行要件包含所述放置时间为所述规定时间之内的情况。
3.根据权利要求2所述的基板处理装置,其特征在于,
所述模拟处理不实行要件包含所述非制品基板不存在的情况。
4.根据权利要求2所述的基板处理装置,其特征在于,
所述模拟处理不实行要件包含在实行对所述基板的所述规定处理之前不指定对所述非制品基板的模拟处理的实行的情况。
5.根据权利要求2所述的基板处理装置,其特征在于,
所述模拟处理实行要件包含使由所述模拟处理省略部件设定的所述模拟处理的实行省略变为无效的情况。
6.根据权利要求2所述的基板处理装置,其特征在于,
所述模拟处理实行要件包含所述处理室为离线的情况。
7.根据权利要求2所述的基板处理装置,其特征在于,
所述模拟处理实行要件包含所述作为被处理体的基板是由电源接通后最初的应处理的基板所构成的情况。
8.根据权利要求2所述的基板处理装置,其特征在于,
所述模拟处理实行要件包含与所述作为被处理体的基板相比而在以前处理的基板由离线处理的情况。
9.根据权利要求2所述的基板处理装置,其特征在于,
所述模拟处理实行要件包含所述作为被处理体的基板是由所述处理室的维修后最初的应处理的基板所构成的情况。
10.根据权利要求2所述的基板处理装置,其特征在于,
所述模拟处理实行要件包含与所述作为被处理体的基板相比对于以前处理实行中的基板,实行强制终了正在实行的处理的异常中断处理的情况。
11.根据权利要求1~10之一所述的基板处理装置,其特征在于,
所述模拟处理单元,在由所述稳定判别部件判别了所述处理室内的状态为非稳定时,实行一次所述模拟处理。
12.根据权利要求11所述的基板处理装置,其特征在于,
所述实行的模拟处理与对于所述基板实行的所述规定处理相比处理时间要长。
13.根据权利要求11所述的基板处理装置,其特征在于,
所述实行的模拟处理与对于所述基板实行的所述规定处理相比其处理所必要的功率的设定值要高。
14.根据权利要求1所述的基板处理装置,其特征在于,
所述判别单元对包含所述基板的每一批次进行所述判别。
15.根据权利要求1所述的基板处理装置,其特征在于,
具有异常中断处理设定装置,在相比于所述作为被处理体的基板而对以前实行处理中的基板进行强制终了实行中的处理的异常中断处理之后,容许设定是否对所述作为被处理体的基板实行所述异常中断处理。
16.根据权利要求1所述的基板处理装置,其特征在于,
设置有将表示是否省略了所述模拟处理的实行的日志加以记录的日志记录装置。
17.一种基板处理装置的基板处理方法,其特征在于:
该基板处理装置具有用于对作为被处理体的基板实行规定处理的至少一个处理室,该基板处理方法包括:对于非制品基板实行模拟处理的模拟处理步骤;和判别所述模拟处理的实行是否必要的判别步骤,所述判别步骤包含判别所述处理室内的状态是否稳定的稳定判别步骤,所述模拟处理步骤包含当在由所述稳定判别步骤中判别了所述处理室内的状态是稳定状态时,省略所述模拟处理的实行的模拟处理省略步骤,
在所述稳定判别步骤中,在以所述规定处理的实行终了时作为计时开始基准时刻的所述处理室的放置时间为规定时间之内时,判别所述处理室的状态为稳定,
所述规定时间比连续搬送的批次间的时间长。
18.根据权利要求17所述的基板处理方法,其特征在于,
在判别所述模拟处理的实行是否必要的所述判别步骤中,根据预先设定的模拟处理实行要件或模拟处理不实行要件而进行所述判别,所述模拟处理不实行要件包含所述放置时间为所述规定时间之内的情况。
19.根据权利要求18所述的基板处理方法,其特征在于,
所述模拟处理不实行要件包含所述非制品基板不存在的情况。
20.根据权利要求18所述的基板处理方法,其特征在于,
所述模拟处理不实行要件包含在实行对于所述基板的所述规定处理之前不指定对所述非制品基板的模拟处理的实行的情况。
21.根据权利要求18所述的基板处理方法,其特征在于,
所述模拟处理实行要件包含设定所述模拟处理省略步骤中的所述模拟处理的实行省略变为无效的情况。
22.根据权利要求18所述的基板处理方法,其特征在于,
所述模拟处理实行要件包含所述处理室为离线的情况。
23.根据权利要求18所述的基板处理方法,其特征在于,
所述模拟处理实行要件包含所述作为被处理体的基板是由电源接通后的最初应处理的基板所构成的情况。
24.根据权利要求18所述的基板处理方法,其特征在于,
所述模拟处理实行要件包含相比于所述作为被处理体的基板以前处理的基板由离线处理的情况。
25.根据权利要求18所述的基板处理方法,其特征在于,
所述模拟处理实行要件包含所述作为被处理体的基板是由所述处理室的维修后最初的应处理的基板所构成的情况。
26.根据权利要求18所述的基板处理方法,其特征在于,
所述模拟处理实行要件包含与所述作为被处理体的基板相比对于在以前实行处理之中的基板,实行强制终了实行中的处理的异常中断处理的情况。
27.根据权利要求17~26之一所述的基板处理方法,其特征在于,
所述模拟处理步骤,当在所述稳定判别步骤中判别了所述处理室内的状态为非稳定时,实行一次所述模拟处理。
28.根据权利要求27所述的基板处理方法,其特征在于,
所述实行的模拟处理与对所述基板实行的所述规定处理相比处理时间要长。
29.根据权利要求27所述的基板处理方法,其特征在于,
所述实行的模拟处理与对所述基板实行的所述规定处理相比该处理所必要的功率的设定值要高。
30.根据权利要求17所述的基板处理方法,其特征在于,
利用判别所述模拟处理的实行是否必要的所述判别步骤对包含所述基板的每一批次进行所述判别。
31.根据权利要求17所述的基板处理方法,其特征在于,
具有异常中断处理设定步骤,在与所述作为被处理体的基板相比对以前实行处理之中的基板进行强制终了实行中的处理的异常中断处理之后,容许设定是否对所述作为被处理体的基板实行所述异常中断处理。
32.根据权利要求17所述的基板处理方法,其特征在于,
设置有将表示是否省略了所述模拟处理的实行的日志加以记录的日志记录步骤。
CNB2005100515831A 2004-03-05 2005-03-07 基板处理装置、基板处理方法及程序 Expired - Fee Related CN100373545C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2004062982 2004-03-05
JP2004062982 2004-03-05
JP2004277774 2004-09-24
JP2004277774 2004-09-24

Publications (2)

Publication Number Publication Date
CN1664987A CN1664987A (zh) 2005-09-07
CN100373545C true CN100373545C (zh) 2008-03-05

Family

ID=35035980

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100515831A Expired - Fee Related CN100373545C (zh) 2004-03-05 2005-03-07 基板处理装置、基板处理方法及程序

Country Status (1)

Country Link
CN (1) CN100373545C (zh)

Families Citing this family (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5091413B2 (ja) * 2006-03-08 2012-12-05 東京エレクトロン株式会社 基板処理装置および基板処理装置の制御方法
JP5128080B2 (ja) * 2006-03-29 2013-01-23 東京エレクトロン株式会社 基板処理装置の制御装置およびその制御方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
JP2001176763A (ja) * 1999-12-14 2001-06-29 Nec Corp 製品ウェハを含む非製品ウェハの自動化処理方法ならびにシステム及び同方法が記録された記録媒体
US6322716B1 (en) * 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
JP2002069633A (ja) * 2000-08-31 2002-03-08 Matsushita Electric Ind Co Ltd 成膜方法及びスパッタ装置
US20030019840A1 (en) * 1998-04-23 2003-01-30 Smith Michael Lane Method & apparatus for monitoring plasma processing operations

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US20030019840A1 (en) * 1998-04-23 2003-01-30 Smith Michael Lane Method & apparatus for monitoring plasma processing operations
US6322716B1 (en) * 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
JP2001176763A (ja) * 1999-12-14 2001-06-29 Nec Corp 製品ウェハを含む非製品ウェハの自動化処理方法ならびにシステム及び同方法が記録された記録媒体
JP2002069633A (ja) * 2000-08-31 2002-03-08 Matsushita Electric Ind Co Ltd 成膜方法及びスパッタ装置

Also Published As

Publication number Publication date
CN1664987A (zh) 2005-09-07

Similar Documents

Publication Publication Date Title
CN100373545C (zh) 基板处理装置、基板处理方法及程序
US8785216B2 (en) Substrate processing apparatus, substrate processing method, and program for implementing the method
CN100388429C (zh) 去除光刻胶和蚀刻残留物的方法
KR101240149B1 (ko) 화학적 산화물 제거에 의한 기판 프로세싱 방법 및 기판 처리 프로세싱 시스템
US8501499B2 (en) Adaptive recipe selector
US7967995B2 (en) Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
TWI247332B (en) Method and apparatus for controlling etch selectivity
CN103173739B (zh) 基板处理装置、程序、存储介质和决定是否需要调节的方法
CN100508108C (zh) 具有热内表面的小体积处理室
TWI479563B (zh) 基板處理方法
US20070093071A1 (en) Method and apparatus for processing a wafer
US20030045131A1 (en) Method and apparatus for processing a wafer
US20020006677A1 (en) Detection of contaminants on semiconductor wafers
CN101399188A (zh) 半导体装置的制造方法和装置、控制程序及程序存储介质
KR20100035140A (ko) 고애스펙트비 구조의 식각시 마이크로로딩의 감소 방법
JP5080724B2 (ja) 基板処理装置、基板処理方法、及びプログラム
KR100856816B1 (ko) 기판 처리 장치의 클리닝 방법, 기판 처리 장치,프로그램을 기록한 기록 매체
WO2012135531A1 (en) Contact processing using multi-input/multi-output (mimo) models
US20030183243A1 (en) Cleaning residues from surfaces in a chamber by sputtering sacrificial substrates
TW200539289A (en) Waferless automatic cleaning after barrier removal
US7010374B2 (en) Method for controlling semiconductor processing apparatus
US8157952B2 (en) Plasma processing chamber, potential controlling apparatus, potential controlling method, program for implementing the method, and storage medium storing the program
JP4972277B2 (ja) 基板処理装置の復帰方法、該装置の復帰プログラム、及び基板処理装置
CN102024683B (zh) 处理开始可否的判定方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080305

CF01 Termination of patent right due to non-payment of annual fee