JP5638405B2 - 基板のプラズマ処理方法 - Google Patents

基板のプラズマ処理方法 Download PDF

Info

Publication number
JP5638405B2
JP5638405B2 JP2011014335A JP2011014335A JP5638405B2 JP 5638405 B2 JP5638405 B2 JP 5638405B2 JP 2011014335 A JP2011014335 A JP 2011014335A JP 2011014335 A JP2011014335 A JP 2011014335A JP 5638405 B2 JP5638405 B2 JP 5638405B2
Authority
JP
Japan
Prior art keywords
substrate
tray
plasma processing
plasma
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011014335A
Other languages
English (en)
Other versions
JP2012099781A (ja
Inventor
尚吾 置田
尚吾 置田
古川 良太
良太 古川
吉将 稲本
吉将 稲本
達弘 水上
達弘 水上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP2011014335A priority Critical patent/JP5638405B2/ja
Priority to CN201180048666.9A priority patent/CN103155117B/zh
Priority to US13/823,955 priority patent/US9073385B2/en
Priority to PCT/JP2011/005501 priority patent/WO2012046418A1/ja
Publication of JP2012099781A publication Critical patent/JP2012099781A/ja
Application granted granted Critical
Publication of JP5638405B2 publication Critical patent/JP5638405B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B44DECORATIVE ARTS
    • B44CPRODUCING DECORATIVE EFFECTS; MOSAICS; TARSIA WORK; PAPERHANGING
    • B44C1/00Processes, not specifically provided for elsewhere, for producing decorative surface effects
    • B44C1/22Removing surface-material, e.g. by engraving, by etching
    • B44C1/227Removing surface-material, e.g. by engraving, by etching by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes

Description

本発明は、トレイに収容された状態で搬送が行われる複数の基板に対して、プラズマ処理を行う方法に関する。
LEDデバイスの製造工程において、デバイスからの光の外部取出し効率を向上させるために、サファイア基板の表面に凹凸構造を形成する工程としてエッチング処理(プラズマ処理)が行われている。このようなエッチング処理では、複数の基板がトレイに収容された状態にて取り扱われる(例えば、特許文献1参照)。
具体的には、トレイには複数の基板収容孔が形成されており、基板収容孔の内壁から突出された基板支持部にサファイア基板の縁部が支持されることで、複数の基板がトレイに収容されるように構成されている。プラズマ処理装置のチャンバ内には基板ステージが配置されており、基板ステージの上面には、トレイ支持部と、このトレイ支持部から上向きに突出する複数の基板保持部とが設けられている。
エッチング処理を行う際には、トレイに収容された状態で複数の基板をチャンバ内に搬入して、トレイ支持部上にトレイを載置することで、複数の基板を基板保持部上に載置するとともに、基板の縁部を基板支持部から離間した状態とする。このような状態にて、基板保持部に内蔵されたESC(静電チャック)によりそれぞれの基板を静電吸着して、基板保持部に保持させた状態にて、基板に対するエッチング処理が行われる。エッチング処理が完了すると、ESCによる吸着保持を解除して、トレイ支持部からトレイを持ち上げるとともに、基板支持部により基板の縁部を再び支持した状態にて、複数の基板をチャンバ内から搬出する。
特開2007−109771号公報
しかしながら、特許文献1のようなエッチング処理方法では、基板ステージにおいてそれぞれの基板保持部に保持された状態の基板の縁部と、トレイにおける基板支持部とは、互いに離間した状態にあるため、エッチング処理中にサファイア基板およびトレイ(例えばSiCにて形成されている。)から発生した副生成物(デポ)が、基板の縁部やトレイの基板収容孔の内壁に付着する。エッチング処理が終了した後、トレイ支持部よりトレイを持ち上げてそれぞれの基板を搬出する際に、トレイの基板保持部と基板の縁部とが当接し、付着している副生成物が基板保持部上に落下する場合がある。基板保持部上にこのような副生成物が落下すると、次の基板に対するエッチング処理を行う際に、落下した副生成物が噛み込み、基板の確実な保持が阻害される場合がある。このような場合にあっては、エッチング処理中に基板の冷却を十分に行うことができず、製品不良が生じるという問題がある。
従って、本発明の目的は、上記問題を解決することにあって、トレイに収容された状態で搬送が行われる複数の基板に対してプラズマ処理を行う方法において、プラズマ処理中に基板の縁部およびトレイに付着した副生成物の除去を行って、製品の品質を向上させることができる基板のプラズマ処理方法を提供することにある。
上記目的を達成するために、本発明は以下のように構成する。
本発明の第1態様によれば、基板が収容される複数の基板収容孔が設けられ、この基板収容孔の内壁から突出する基板支持部を有するトレイを用いて、基板支持部にその縁部が支持されて基板収容孔に収容された状態の複数の基板をチャンバ内に搬入する基板搬入工程と、
チャンバ内において、トレイ支持部とこのトレイ支持部から上向きに突出する複数の基板保持部とを有する基板ステージに対して、トレイ支持部上にトレイを載置するとともにそれぞれの基板保持部上に基板を載置することで、基板保持部の端縁よりはみ出した基板の縁部と基板支持部とを離間させた状態とする基板載置工程と、
チャンバ内へ処理ガスを供給すると共にチャンバ内の圧力を調整して、それぞれの基板に対するプラズマ処理を行う第1プラズマ処理工程と、
トレイおよびそれぞれの基板が基板ステージ上に載置された状態にて、チャンバ内へ処理ガスを供給すると共にチャンバ内の圧力を調整してプラズマ処理を実施し、第1プラズマ処理工程の実施により基板の縁部と基板支持部とに付着した副生成物を除去する第2プラズマ処理工程と、
第2プラズマ処理工程の終了後、基板支持部により基板の縁部を支持した状態にて、トレイとともにそれぞれの基板をチャンバ内より搬出する基板搬出工程と、を含む、基板のプラズマ処理方法を提供する。
本発明の第2態様によれば、第1プラズマ処理工程の終了後、第2プラズマ処理工程を実施する際に、第1プラズマ処理工程における処理ガスとは異なる種類の処理ガスに切り換えるとともに、第1プラズマ処理工程における圧力よりも高い圧力にて第2プラズマ処理工程を行う、第1態様に記載の基板のプラズマ処理方法を提供する。
本発明の第3態様によれば、第1プラズマ処理工程において、それぞれの基板を静電吸着により基板保持部に吸着保持するとともに、基板と基板保持部の間に所定の圧力で供給される冷却ガスにより冷却しながら、プラズマ処理を行い、
第1プラズマ処理工程の終了後、第2プラズマ処理工程を実施する際に、第1プラズマ処理工程における静電吸着の駆動電圧よりも低い駆動電圧に切り換えて静電吸着を行う、第2態様に記載の基板のプラズマ処理方法を提供する。
本発明の第4態様によれば、第2プラズマ処理工程を実施する際に、第1プラズマ処理工程における冷却ガスの圧力よりも低い圧力に切り換える、第3態様に記載の基板のプラズマ処理方法を提供する。
本発明の第5態様によれば、第2プラズマ処理工程を実施する際の静電吸着の駆動電圧と第2プラズマ処理工程におけるチャンバ内部の圧力と冷却ガスとの差圧がゼロである、第4態様に記載の基板のプラズマ処理方法を提供する。
本発明の第6態様によれば、基板としてサファイア基板を用い、第1プラズマ処理工程において、サファイア基板の表面に微小な凹凸構造を形成するプロセスを、プラズマ処理として行う、第3態様に記載の基板のプラズマ処理方法を提供する。
本発明の第7態様によれば、第1プラズマ処理工程における処理ガスとしてBClを用い、
第2プラズマ処理工程における処理ガスとしてO/CFを用いる、第6態様に記載の基板のプラズマ処理方法を提供する。
本発明の第8態様によれば、第2プラズマ処理工程の終了後、除電プラズマを発生させて、基板と基板保持部との間の残留静電吸着力を低減させる除電工程を実施する、第3から第7態様のいずれか1つに記載の基板のプラズマ処理方法を提供する。
本発明の第9態様によれば、第2プラズマ処理工程において、除去した副生成物を基板保持部の側面に集積させる、第1態様から第8態様のいずれか1つに記載の基板のプラズマ処理方法を提供する。
本発明によれば、チャンバ内の基板ステージへの複数の基板の基板載置工程において、基板保持部の端部よりはみ出した基板の縁部とトレイの基板支持部とを離間させた状態として、この状態において第1プラズマ処理工程を実施して、その後、基板の縁部とトレイの基板支持部とを離間させた状態のまま、第1プラズマ処理工程の実施により基板の縁部と基板支持部とに付着した副生成物を、第2プラズマ処理工程を行うことにより除去することができる。よって、その後、トレイの基板支持部に基板の縁部を再び支持させて、トレイに収容させた状態にて複数の基板をチャンバから搬出する際に、トレイと基板との接触により副生成物が落下することを防止することができる。したがって、基板のプラズマ処理方法における製品の品質を向上させることができる。
本発明の一の実施形態にかかるドライエッチング装置の構成図 トレイ、基板および基板ステージの斜視図 トレイ、基板および基板ステージの斜視図(トレイ載置状態) トレイおよび基板とステージ上部との関係を示す断面図 ドライエッチング装置が備える制御部の主要な構成を示すブロック図 本実施形態のエッチング処理方法の手順を示すフローチャート デポの付着状態を示す説明図 デポの付着状態を示す説明図(分解状態) トレイ搬出処理の手順を示す動作説明図 本発明の変形例1にかかる基板保持部の側面の近傍を示す部分断面図 本発明の変形例2にかかるクリーニング処理中のトレイの動作を示す説明図
以下に、本発明にかかる実施の形態を図面に基づいて詳細に説明する。
(実施形態)
本発明の実施形態に係るプラズマ処理装置の一例としてICP(誘導結合プラズマ)型のドライエッチング装置1の構成図を図1に示す。
ドライエッチング装置1は、その内部が基板2にプラズマ処理を行う処理室を構成するチャンバ(真空容器)3を備える。チャンバ3の上端開口は石英等の誘電体により形成された天板4により密閉状態で閉鎖されている。天板4の下面側は誘電体により形成された天板カバー部6により覆われている。天板4上にはICPコイル5が配置されており、ICPコイル5はコイルカバー部10により覆われている。ICPコイル5にはマッチング回路を含む第1の高周波電源部7が電気的に接続されている。天板4と対向するチャンバ3内の底部側には、バイアス電圧が印加される下部電極としての機能及び基板2の保持台としての機能を有する基板ステージ9が配置されている。チャンバ3には、例えばロードドック室(図示せず)と連通する開閉可能な搬入出用のゲートバルブ3aが設けられており、図示しない搬送機構が備えるハンド部により基板2が保持されて、開放状態のゲートバルブ3aを通して基板2の搬入・搬出動作が行われる。また、チャンバ3に設けられたエッチング用のガス導入口3bには、ガス供給部12が接続されている。ガス供給部12には、複数種類のガスの供給ライン(例えば、BCl、Cl、Ar、O、CF)が備えられており、それぞれのガス種のライン毎に設けられた開閉バルブ12a、12b、および流量調整部12cの開閉動作および開度が選択的に制御されることにより、ガス導入口3bから所望の流量および仕様の処理ガスを供給できる。さらに、チャンバ3に設けられた排気口3cには、真空ポンプや圧力制御弁等から構成される圧力制御部13が接続されている。
次に、本実施形態のドライエッチング装置1にて取り扱われる基板2を保持するトレイ15について、図2および図3の模式斜視図を用いて説明する。
トレイ15は薄板円板状のトレイ本体15aを備える。トレイ15の材質としては、例えばアルミナ(Al)、窒化アルミニウム(AlN)、ジルコニア(ZrO)、イットリア(Y)、窒化シリコン(SiN)、炭化シリコン(SiC)等のセラミクス材や、アルマイトで被覆したアルミニウム、表面にセラミクスを溶射したアルミニウム、樹脂材料で被覆したアルミニウム等の金属がある。Cl系プロセスの場合にはアルミナ、イットリア、炭化シリコン、窒化アルミニウム等、F系プロセスの場合には石英、水晶、イットリア、炭化シリコン、アルマイトを容射したアルミニウム等を採用することが考えられる。なお、本実施形態では、炭化シリコンを主材料として形成されたトレイ15が用いられる。
トレイ本体15aには、上面15bから下面15cまで厚み方向に貫通する4個の基板収容孔19が設けられている。基板収容孔19は、上面15b及び下面15cから見てトレイ本体15aの中心に対して等角度間隔で配置されている。図4(A)及び(B)に詳細に示すように、それぞれの基板収容孔19の内壁15dには、孔中心に向けて突出する基板支持部21が設けられている。本実施形態では、基板支持部21は内壁15dの全周に設けられており、平面視で円環状である。
それぞれの基板収容孔19にはそれぞれ1枚の基板2が収容される。基板収容孔19に収容された基板2は、その外周縁部2aの下面部分が基板支持部21の上面21aに支持される。また、基板収容孔19はトレイ本体15aを厚み方向に貫通するように形成されているので、トレイ本体15aの下面側から見ると、基板収容孔19により基板2の下面が露出した状態とされている。
トレイ本体15aには、外周縁を部分的に切り欠いたノッチ15eが形成されており、搬送時などでトレイ15を取り扱う際に、センサ等を用いてトレイ15の向きを容易に確認できる。
次に、図1〜図3、図4を参照して、基板ステージ9について説明する。
図1に示すように、基板ステージ9は、セラミクス等の誘電体部材により形成されたステージ上部23と、表面にアルマイト被覆を形成したアルミニウム等により形成され、バイアス電圧が印加される下部電極として機能する金属ブロック24と、絶縁体25と、金属製のシールド27とを備える。基板ステージ9の最上部に配置されるステージ上部23は、金属ブロック24の上面に固定されており、ステージ上部23および金属ブロック24の外周が絶縁体25により覆われて、さらに絶縁体25の外周が金属により形成されたシールド27により覆われている。
図2に示すように、ステージ上部23は円板状に形成されており、ステージ上部23の上端面は、トレイ15の下面15cを支持するトレイ支持部28となっている。また、トレイ15のそれぞれの基板収容孔19と対応する短円柱状の4個の基板保持部29がトレイ支持部28から上向きに突出している。さらにステージ上部23上には、トレイ支持部28を囲むように配置され、ステージ上部23から上向きに突出して形成された環状のガイドリング30が配置されている。このガイドリング30は、ステージ上部23において、トレイ15の配置位置を案内する役目を担っている。
ここで、トレイ15、基板2、および基板保持部29等の関係について、図4(A),(B)を参照して説明する。基板保持部29の外径R1は、基板支持部21の先端面(内周端面)21bの内径R2よりも小さく設定されている。したがって、トレイ15がトレイ支持部28上に配置された状態において、基板収容孔19に形成された基板支持部21と、基板保持部29との間には、互いに接触しないような隙間が確保される。
また、トレイ本体15aの下面15cから基板支持部21の上面21aまでの高さH1は、トレイ支持部28から基板保持部29における保持面31までの高さH2よりも低く設定されている。したがって、トレイ15の下面15cがトレイ支持部28上に配置された状態では、基板保持部29の保持面31により基板2が押し上げられ、トレイ15の基板支持部21から基板2が浮き上がった状態となる。言い換えれば、基板収容孔19に基板2を収容しているトレイ15をステージ上部23上に配置すると、基板収容孔19に収容された基板2は基板支持部21の上面21aから浮き上がり、基板2の縁部2aと基板支持部21の上面21aとが互いに離間した状態にて、基板2の下面が基板保持部29の保持面31上に配置される。なお、図3および図4(B)に示すように、それぞれの基板2が基板保持部29上に配置されて、トレイ15から離間した状態では、基板2の上面とトレイ15の上面15bとはほぼ同じ高さに位置された状態となる。
また、基板保持部29の外径R1は、基板2の外径R3よりも小さく設定されている。したがって、基板2が基板保持部29上に配置されて、トレイ15から離間した状態では、図4(B)に示すように、基板2の縁部2aが基板保持部29の外周端部より径方向外向きに突出してはみ出した状態とされる。
また、図1に示すように、ステージ上部23に設けられた個々の基板保持部29の保持面31付近にはESC電極(静電吸着用電極)40が内蔵されている。これらのESC電極40は電気的に互いに絶縁されており、直流電源を内蔵するESC駆動電源部41から静電吸着用の直流電圧が印加される。
図1に示すように、それぞれの基板保持部29の保持面31には冷却ガス供給口44が設けられており、それぞれの冷却ガス供給口44は冷却ガス供給路47を通じて共通の冷却ガス供給部45に接続されている。なお、本実施形態では、冷却ガスとしてヘリウム(He)が用いられ、プラズマ処理中において、基板保持部29の保持面31と基板2との間に冷却ガスが供給されることで基板2の冷却が行われる。
金属ブロック24には、バイアス電圧としての高周波を印加する第2の高周波電源部56が電気的に接続されている。第2の高周波電源部56はマッチング回路を備えている。
また、金属ブロック24内には、金属ブロック24を冷却するための冷媒流路60が形成されており、冷却ユニット59より温度調節された冷媒が冷媒流路60に供給されることで、金属ブロック24が冷却される。
図1に示すように、基板ステージ9には、トレイ支持部28上に配置された状態のトレイ15をその下面側から押し上げて(突き上げて)トレイ15とともにそれぞれの基板2を上昇させる複数本のトレイ押上ロッド18が備えられている。それぞれのトレイ押上ロッド18は、トレイ支持部28の上面より突出した押上位置と、トレイ支持部28内に格納された格納位置との間で駆動機構17により昇降駆動される。
次に、ドライエッチング装置1が備える制御部70の構成について、図5に示すブロック図を用いて説明する。
図5に示すように、ドライエッチング装置1が備えるそれぞれの構成部である、第1の高周波電源部7、第2の高周波電源部56、ESC駆動電源部41、ゲートバルブ3a、搬送機構、駆動機構17、ガス供給部12、冷却ユニット59、および圧力制御部13の動作が他の構成部の動作と関連付けられながら統括的に制御部70により制御される。また、制御部70には、オペレータによる操作や入力を行うための操作・入力部71と、ドライエッチング装置1における運転情報などを表示する表示部72とが備えられている。
また、本実施形態のドライエッチング装置1では、基板2として例えばサファイア基板が取り扱われ、エッチング処理(プラズマ処理)として、サファイア基板2の表面に微小な凹凸構造を形成する加工(PSS:Paterned Sapphire Substrate)が行われる。なお、このように基板2の表面に微小な凹凸構造を形成する加工を基板表面の粗面化加工または表面テキスチャ加工と言うこともできる。
ドライエッチング装置1では、このようなエッチング処理を行うために、トレイ15に保持された複数の基板2をチャンバ3内に搬入して、基板ステージ9上に載置するトレイ搬入処理(基板搬入工程および基板載置工程)と、搬入された基板2に対してエッチング処理によりPSS加工を行うエッチング処理(第1プラズマ処理工程)と、エッチング処理の実施により基板2およびトレイ15に付着した副生成物をプラズマ処理により除去するクリーニング処理(第2プラズマ処理工程)と、除電プラズマを発生させて基板2と基板保持部29との間の残留静電吸着力を低減させる除電処理(除電工程)と、それぞれの基板2をトレイ15に保持させた状態にてチャンバ3内より搬出するトレイ搬出処理(基板搬出工程)とが予め設定されたプログラムを実行することにより連続的に実施される。そのため、制御部70には、これらのプログラムおよびプログラムを実行する演算部により構成され、各種処理を実行する処理部として、搬送処理部73、エッチング処理部74、クリーニング処理部75、および除電処理部76が備えられている。また、エッチング処理、クリーニング処理、および除電処理を実施するための各種運転条件を記憶する運転条件記憶部77が制御部70には備えられている。
次に、上述したような構成を有するドライエッチング装置1を用いて、複数の基板2に対してエッチング処理を行う方法について、図6に示すフローチャートを用いて説明する。なお、以降に説明するそれぞれの処理は、ドライエッチング装置1が備える制御部70によりそれぞれの構成部が予め設定されたプログラムおよび運転条件に基づいて制御されることにより実施される。
(トレイ搬入処理)
まず、図6のフローチャートにおけるトレイ搬入処理(ステップS1)を実施する。具体的には、ドライエッチング装置1において、ゲートバルブ3aを開放状態とさせる。その後、4個の基板収容孔19にそれぞれ基板2が収容された状態のトレイ15を、搬送機構のハンド部により保持して、例えばロードロック室からゲートバルブ3aを通ってチャンバ3内に搬入する。
チャンバ3内では、駆動機構17によって駆動されたトレイ押上ロッド18が上昇し、ハンド部からトレイ押上ロッド18の上端にトレイ15が移載される。トレイ15の移載後、ハンド部はロードロック室に待避し、ゲートバルブ3aが閉鎖される。
上端にトレイ15を支持したトレイ押上ロッド18は、その押上位置から基板ステージ9内に格納される格納位置に向けて降下する。トレイ15は下面15cが基板ステージ9のステージ上部23のトレイ支持部28まで降下し、トレイ15はステージ上部23のトレイ支持部28によって支持される。トレイ15がトレイ支持部28に向けて降下する際に、ステージ上部23の基板保持部29がトレイ15の対応する基板収容孔19内にトレイ15の下面15c側から進入する。トレイ15の下面15cがトレイ支持部28に当接する前に、基板保持部29の上端面である保持面31が、基板2の下面に当接する。さらにトレイ15を下降させてトレイ15の下面15cをトレイ支持部28上に載置すると、それぞれの基板2の縁部2aが基板支持部21の上面21aから持ち上げられて、トレイ15と基板2とが互いに離間した状態となる。なお、トレイ15は、ガイドリング30によりその配置位置が位置決めされるため、それぞれの基板2は基板保持部29に対して高い位置決め精度で配置される。
その後、それぞれの基板保持部29に内蔵されたESC電極40に対してESC駆動電源部41から直流電圧を印加する。
(エッチング処理)
次に、エッチング処理(ステップS2)を実施する。具体的には、ガス供給部12からチャンバ3内にエッチング処理用のガスが供給されるとともに、圧力制御部13によりチャンバ3内は所定圧力に調整される。続いて、第1の高周波電源部7からICPコイル5に高周波電圧を印加する。これによりチャンバ3内にプラズマが発生する。
また、チャンバ3内にプラズマが発生することにより基板2と基板保持部29の間に静電吸着力が発生し、それぞれの基板保持部29の保持面31に基板2が静電吸着される。基板2の下面はトレイ15を介することなく保持面31上に直接配置されている。したがって、基板2は保持面31に対して高い密着度で保持される。その後、それぞれの基板保持部29の保持面31と基板2の下面との間に存在する空間内に、冷却ガス供給口44を通して冷却ガス供給部45から冷却ガスが供給され、この空間に冷却ガスが充填される。冷却ガスが十分に充填された状態(所定の圧力に保たれた状態)にて、第2の高周波電源部56により基板ステージ9の金属ブロック24にバイアス電圧を印加し、チャンバ3内で発生したプラズマを基板ステージ9側へ引き寄せる。これにより、基板2に対するエッチング処理が行われて、基板2の表面に対するPSS加工が実施される。1枚のトレイ15で4枚の基板2を基板ステージ9上に載置できるので、バッチ処理が可能である。
エッチング処理中は、冷却ガスによる冷却に加えて、冷却ユニット59によって冷媒流路60中で冷媒を循環させて金属ブロック24を冷却し、それによってステージ上部23及び保持面31に保持された基板2が冷却される。したがって、エッチング処理において、基板2の温度が確実に制御される。所定の処理時間経過すると、第2の高周波電源部56による基板ステージ9の金属ブロック24へのバイアス電圧の印加を停止するとともに、エッチング処理用のガスの供給を停止して、基板2に対するエッチング処理が完了する。
(クリーニング処理)
ここで、このようなエッチング処理が行われた直後の基板2およびトレイ15の状態を図7Aおよび図7Bの説明図に示す。図7Aに示すように、トレイ支持部28上にトレイ15が載置され、それぞれの基板保持部29上に基板2が保持されている状態では、トレイ15の基板支持部21の上面21aと基板2の縁部2aの下面との間には、互いに接触しないような隙間が設けられている。このような状態にてエッチング処理が行われると、基板2の縁部2aの下面やその近傍(部分A)、基板2の縁部2aにて隠れてしまっているトレイ15の基板支持部21の上面21aおよびその近傍部分(部分B)では、エッチング処理の際に生じる副生成物であるデポ(デポジション(堆積物))が付着し易い。なお、図中参照符号91は生成されたプラズマ、92はシース、93は付着したデポを模式的に示したものである。
特に、トレイ15を用いて複数の基板2を支持しながら搬送を行うという形態では、基板2の縁部2aをトレイ15の基板支持部21に支持させる必要があるため、基板2を基板保持部29上に配置した状態にて、基板保持部29の外周端部よりも基板2の縁部2aがはみ出すような状態となる。さらに、基板2の縁部2aとトレイ15の基板支持部21とは互いに離間した状態にてエッチング処理が行われることになる。そのため、エッチング処理の際に、生成されたプラズマが比較的侵入し難い部位である基板2の縁部2aの下面やトレイ15の基板支持部21等にエッチング処理の副生成物であるデポ93が付着して残り易くなる。このように基板2とトレイ15との間に付着したデポ93を除去する処理が次のクリーニング処理である。
エッチング処理が完了し、バイアス電圧の印加およびエッチング処理用のガスの供給が停止された後、クリーニング処理を実施する(ステップS3)。具体的には、ガス供給部12からチャンバ3内にエッチング処理用のガスとは異なる種類のクリーニング処理用のガスが供給されるとともに、圧力制御部13によりチャンバ3内を所定圧力に調整する。続いて、第1の高周波電源部7からICPコイル5に高周波電圧を印加してチャンバ3内にプラズマを発生させる。この際、第2の高周波電源部56によるバイアス電圧は印加しない。このプラズマにより基板2の縁部2aおよびトレイ15の基板支持部21ならびにその近傍に付着しているデポが除去される。
ここで、基板2の縁部2aの下面とトレイ15の基板支持部21との間の隙間の奥側には、基板保持部29の側面26が位置している。この隙間は、縁部2aの下面と基板支持部21とが互いに接触しない程度の隙間として設けられているため、隙間の奥側に位置する基板保持部29の側面26は、クリーニング処理時に生成されるプラズマに曝されにくい。そのため、クリーニング処理により除去されたデポの多くを、基板保持部29の側面26に付着して堆積させることができる。さらに、クリーニング処理を繰り返すと、デポを重ねて集積させることができる。すなわち、クリーニング処理により除去されたデポを、側面26に局所的に集積させることができ、このようなデポの集積作用を利用することで、連続運転後のメンテナンス時などにおいて、例えば側面26に対して重点的に拭き取りなどを行うことにより、効率的なメンテナンス作業を実施することができる。
このクリーニング処理では、チャンバ3内の圧力は、エッチング処理における圧力よりも高い圧力に調整される。このようにクリーニング処理において、チャンバ3内の空間を高い圧力とすることにより、生成されるプラズマの等方性的な特性を強めることができ、基板2の縁部2aとトレイ15の基板支持部21との間の隙間にプラズマをより侵入させ易くすることができ、付着しているデポを効果的に除去できる。また、生成されるプラズマを上記隙間へ侵入させやすくするために、第2の高周波電源部56により金属ブロック24にバイアス電圧を印加してもよい。この場合、クリーニング処理中に印加するバイアス電圧はエッチング処理中におけるバイアス電圧よりも低くすることが望ましい。
また、クリーニング処理では、ESC駆動電源部41からESC電極40に対して印加される直流電圧を、エッチング処理中に印加される直流電圧よりも低くすることが望ましい。上述したようにクリーニング処理では、チャンバ3内の圧力を高めることなどによりプラズマの等方性的な特性を強めるようにしている。そのため、基板2の縁部2aとトレイ15の基板支持部21との間の隙間にプラズマが侵入することにより、基板保持部29の近傍に電子が多く存在することになる。一方、基板保持部29を含むステージ上部23を構成する誘電体部材には、基板保持部29の保持面31における静電吸着力を確保するために低抵抗型のセラミックス(体積抵抗率(25℃)が1010〜1011Ω・cm)が使用されている。このため、基板保持部29の近傍に電子が多く存在する状態でエッチング処理の場合と同等の電圧をESC電極40に印加すると絶縁破壊を生じるおそれがある。そこで、クリーニング処理を行う際には、基板保持部29に内蔵されるESC電極40に印加される直流電圧をエッチング処理の場合に印加する電圧よりも低くするかゼロとすることにより、ESC電極40の周囲に絶縁破壊が生じることを防止している。
また、クリーニング処理においては、このようにESC電極40への印加電圧が下げられているため、基板2に対する静電吸着力も下がることになる。そのため、冷却ガス供給部45から供給されて、基板2と基板保持部29との間に充填される冷却ガスのチャンバ内部との差圧についても、静電吸着力の大きさに応じて低く設定するか、静電吸着力の大きさに関係なくゼロに設定、すなわち冷却ガスの供給を遮断する。
その後、所定時間が経過すると、第2の高周波電源部56による基板ステージ9の金属ブロック24へのバイアス電圧の印加を停止するとともに、クリーニング処理用のガスの供給を停止して、基板2およびトレイ15に対するクリーニング処理が完了する。なお、クリーニング処理におけるバイアス電圧と冷却ガスの圧力の設定条件としては、両者ともゼロとする条件が最も好ましい。
(除電処理)
続いて、残留静電吸着力を低減させるための除電処理を実施する(ステップS4)。具体的には、ガス供給部12からチャンバ3内にクリーニング処理用のガスとは異なる種類の除電処理用のガス(ArやHe等の不活性ガスやエッチングに寄与しにくいO等のガス等。)が供給されるとともに、圧力制御部13によりチャンバ3内を所定圧力に調整する。また、ESC駆動電源部41によるESC電極40への直流電圧の印加を停止する。続いて、第1の高周波電源部7からICPコイル5に高周波電圧を印加する。このとき、印加される高周波電圧は、クリーニング処理の際に印加される電圧よりも低く設定される。この状態において、チャンバ3内に生成されたプラズマにより、基板2と基板保持部29との間に残留している静電吸着力を低減させる。なお、前述のクリーニング処理において冷却ガスを供給している場合は、除電処理に先立ち、冷却ガス供給部45からの冷却ガスの供給を停止して、基板2と基板保持部29との間に充填されている冷却ガスを抜いておく。
その後、所定時間経過すると、第1の高周波電源部7によるICPコイル5への高周波電圧の印加を停止する。
(トレイ搬出処理)
続いて、チャンバ3内からそれぞれの基板2をトレイ15とともに搬出するトレイ搬出処理を実施する(ステップS5)。具体的には、図8(A),(B)に示すように、駆動機構17によりそれぞれのトレイ押上ロッド18を上昇させる。トレイ押上ロッド18が上昇すると、その上端でトレイ15の下面15cが押し上げられ、ステージ上部23のトレイ支持部28からトレイ15が浮き上がる。トレイ押上ロッド18とともにトレイ15がさらに上昇すると、図8(B)に示すように、トレイ15の基板支持部21と基板2の縁部2aの下面とが接触して、それぞれの基板2がトレイ15により支持された状態にて押し上げられ、基板保持部29の保持面31から浮き上がる。
その後、ゲートバルブ3aが開放されて、搬送機構のハンド部81がチャンバ3内に挿入される。その後、図8(C),(D)に示すように、4個の基板収容孔19にそれぞれ基板2が収容された状態のトレイ15が、トレイ押上ロッド18からハンド部81に受け渡されて、ゲートバルブ3aを通して、トレイ15に支持された状態の基板2が搬出される。
なお、それぞれのトレイ押上ロッド18を用いてトレイ15を押し上げる動作は、除電用のプラズマを用いて除電処理が行われている間に、並行して実施してもよい。
このように本実施形態の基板2に対するエッチング処理方法によれば、基板2の縁部2aがトレイ15の基板支持部21により支持された状態でトレイ15による複数の基板2の搬送が行われ、基板2の縁部2aとトレイ15の基板支持部21とが互いに接触しない程度に離間した状態にて基板2に対するエッチング処理が行われる形態において、基板2のエッチング処理の際に基板2の縁部2aとトレイ15の基板支持部21とに付着した副生成物であるデポを、このエッチング処理に続けてクリーニング処理を実施することにより、生成されたプラズマを用いて効果的に除去することができる。
よって、その後、トレイ15の基板支持部21に基板2の縁部2aを再び支持させて、トレイ15に収容させた状態にて複数の基板2をチャンバ3から搬出する際に、トレイ15と基板2との接触によりデポが落下することを防止することができる。したがって、このようなデポが基板保持部29上等に落下して、次の処理を行う際にコンタミネーションが生じることなどのトラブルの発生を回避することができ、基板のエッチング処理方法における製品の品質を向上させることができる。
特に、このようなトレイ15を用いて基板2が取り扱われる形態では、エッチング処理が行われる際に、基板2の縁部2aとトレイ15の基板支持部21との間は、生成されたプラズマが侵入し難いように設定することが好ましい。一方、この隙間に侵入して基板2の縁部2aおよびトレイ15の基板支持部21の表面に付着したデポを、クリーニング処理の実施により除去するためには、生成されたプラズマをこの隙間に効果的に侵入させる必要がある。本実施形態では、クリーニング処理にて生成されるプラズマの等方性的な特性を高めることにより、この隙間にプラズマを効果的に侵入させて付着したデポの除去を行うことができる。
なお、エッチング処理中にプラズマが基板2の下面側に侵入するのを防止するためには、基板2の縁部2aとトレイ15の基板収容孔19の内壁15dとの間の隙間が0.1〜0.2mm程度、基板2の縁部2aの下面とトレイ15の基板支持部21の上面21aとの間の隙間が0.2〜0.3mm程度、基板保持部29の側壁と基板支持部21の先端(内壁端)との隙間が0.5mm程度であることが好ましい。
また、本実施形態のエッチング処理方法において、基板2としてサファイア基板を用いて処理を行う場合には、それぞれの処理の運転条件は、次のように設定することができる。これらの運転条件は、制御部70の運転条件記憶部77に予め記憶されている。なお、これらの運転条件は一例であり、処理される基板の種類や処理内容などにより最適な条件に設定することができる。
エッチング処理:
処理ガス種・流量: BCl、200cc
処理圧力: 0.6Pa
ICPコイル印加パワー: 1400W
バイアス: 1600W
ESC電極への印加電圧: 2.0kV
冷却ガス圧力: 2.0kPa
処理時間: 10min
クリーニング処理:
処理ガス種・流量: O、200cc/CF、200cc
処理圧力: 8.0Pa
ICPコイル印加パワー: 1800W
バイアス: 0W
ESC電極への印加電圧: 1.0kV
冷却ガス圧力: 1.0kPa
処理時間: 2min
除電処理:
処理ガス種・流量: Ar、200cc
処理圧力: 8.0Pa
ICPコイル印加パワー: 200W
バイアス: 0W
ESC電極への印加電圧: 0kV
冷却ガス圧力: 0kPa
処理時間: 10sec
基板2の縁部2aの下面とトレイ15の基板支持部21との間の隙間により、基板保持部29の側面26はクリーニング処理のプラズマに曝されにくいので、クリーニング処理により除去されたデポの多くを、側面26に付着して堆積させることができる。すなわち、クリーニング処理により除去されたデポを、側面26に局所的に集積させることができ、このようなデポの集積作用を利用することで、連続運転後のメンテナンス時などにおいて、例えば側面26に対して重点的に拭き取りなどを行うことにより、効率的なメンテナンス作業を実施することができる。
ここで、クリーニング処理の実施による側面26へのデポの集積効果を、より効果的に利用するような幾つかの変形例について、図9、10を用いて説明する。
(変形例1)
図9は、変形例1によるステージ上部23における基板保持部29の側面26の近傍を示す部分断面図である。図9(A)に示すように、基板保持部29の外周には、環状の溝32が形成されている。このような構成では、溝32が形成されていることにより側面26の面積を拡大することができるため、側面26に、より多くのデポを付着して堆積させることができる。したがって、メンテナンス作業をより効率的なものにすることができる。
側面の面積を拡大させるような構成は、図9(A)の構成に限られない。例えば、図9(B)に示すように、側面26が傾斜面として形成されても良い。また、この場合の傾斜方向はどちら向きでも良い。
あるいは、デポを集積させた後にデポの拭き取り作業を円滑に行うために、図9(C)に示すように、側面26とトレイ支持部28との境界部分に、曲面部33を形成しても良い。
(変形例2)
上記実施形態では、トレイ15がトレイ支持部28上に載置された状態にてクリーニング処理が実施されるような場合を例として説明したが、図10(A)、(B)に示すように、クリーニング処理中において、トレイ押上ロッド18によりトレイ15の突き上げ動作を行い、トレイ15をトレイ支持部28より離間させた状態とさせても良い。このようにクリーニング処理中に突き上げ動作を行うことにより、クリーニング効果と側面26へのデポの再付着による集積効果とのバランスを考慮して、基板2の縁部2aの下面とトレイ15の基板支持部21との間の隙間の大きさを制御することができ、効率的なクリーニング処理を実現できる。
なお、本発明は上述の構成に限定されるものではなく、その他種々の態様で実施できる。例えば、サファイア基板に代えて、シリコン基板に対しても本実施形態のエッチング処理方法を適用できる。
さらに円盤状の基板に代えて、四角形状の基板に対しても本実施形態のエッチング処理方法を適用できる。このような四角形状基板としては、例えば、太陽光パネル基板がある。太陽光パネル基板では、太陽光を効率的に吸収するために基板の表面に微小な凹凸構造がエッチング処理や表面テキスチャ加工により形成され、凹凸構造がエッチング処理により形成される点においてはサファイア基板と共通する。また、このような太陽光パネル基板では、シリコン系材料により形成されるものが多く、また、トレイを用いた基板の搬送が採用されている。
また、上述の構成では、トレイ15の基板収容孔19の内壁の全周囲に渡って形成された基板支持部21により、基板2の縁部2aの全周囲が支持されるような例について説明したが、基板支持部21が基板収容孔19の内壁の一部について形成されて、基板2の縁部2aがその外周の一部において支持されるような構成を採用しても良い。
また、基板2と基板保持部29との間に残留する静電吸着力の大きさが低い場合等には、除電処理を実施しないようにすることもできる。
また、本実施形態のエッチング処理方法を複数枚のトレイ15に対して連続的に実施した後、チャンバ3内にトレイ15を載置しない状態にてクリーニング処理を実施して、チャンバ3内に付着しているデポを除去するようにしても良い。
また、基板保持部29の側壁を傾斜させるように構成することで、デポを付着し難くすることもできる。
なお、上記様々な実施形態のうちの任意の実施形態を適宜組み合わせることにより、それぞれの有する効果を奏するようにすることができる。
本発明は、トレイに収容された状態で搬送が行われる複数の基板に対してプラズマ処理を行う方法に有用であり、特に、基板表面に微小な凹凸構造を形成する基板の粗面化処理や表面テキスチャ加工をエッチング処理により行うような方法に適用できる。
1 ドライエッチング装置
2 基板
2a 縁部
3 チャンバ
3a ゲートバルブ
4 天板
5 ICPコイル
6 天板カバー部
7 第1の高周波電源部
9 基板ステージ
10 コイルカバー部
12 ガス供給部
13 圧力制御部
15 トレイ
15a トレイ本体
17 駆動機構
18 トレイ押上ロッド
19 基板収容孔
21 基板支持部
23 ステージ上部
24 金属ブロック
25 絶縁体
26 側面
28 トレイ支持部
29 基板保持部
30 ガイドリング
31 保持面
32 溝
33 曲面部
40 ESC電極
41 ESC駆動電源部
45 冷却ガス供給部
56 第2の高周波電源部
59 冷却ユニット
70 制御部
71 操作・入力部
72 表示部
73 搬送処理部
74 エッチング処理部
75 クリーニング処理部
76 除電処理部
77 運転条件記憶部

Claims (7)

  1. 基板が収容される複数の基板収容孔が設けられ、この基板収容孔の内壁から突出する基板支持部を有するトレイを用いて、基板支持部にその縁部が支持されて基板収容孔に収容された状態の複数の基板をチャンバ内に搬入する基板搬入工程と、
    チャンバ内において、トレイ支持部とこのトレイ支持部から上向きに突出する複数の基板保持部とを有する基板ステージに対して、トレイ支持部上にトレイを載置するとともにそれぞれの基板保持部上に基板を載置することで、基板保持部の端縁よりはみ出した基板の縁部と基板支持部とを離間させた状態とする基板載置工程と、
    チャンバ内へ処理ガスを供給すると共にチャンバ内の圧力を調整して、それぞれの基板に対するプラズマ処理を行う第1プラズマ処理工程と、
    トレイおよびそれぞれの基板が基板ステージ上に載置された状態にて、チャンバ内へ処理ガスを供給すると共にチャンバ内の圧力を調整してプラズマ処理を実施し、第1プラズマ処理工程の実施により基板の縁部と基板支持部とに付着した副生成物を除去する第2プラズマ処理工程と、
    第2プラズマ処理工程の終了後、基板支持部により基板の縁部を支持した状態にて、トレイとともにそれぞれの基板をチャンバ内より搬出する基板搬出工程と、を含み、
    第1プラズマ処理工程において、それぞれの基板を静電吸着により基板保持部に吸着保持するとともに、基板と基板保持部の間に所定の圧力で供給される冷却ガスにより冷却しながら、プラズマ処理を行い、
    第1プラズマ処理工程の終了後、第2プラズマ処理工程を実施する際に、第1プラズマ処理工程における静電吸着の駆動電圧よりも低い駆動電圧に切り換えて静電吸着を行うとともに、第1プラズマ処理工程における処理ガスとは異なる種類の処理ガスに切り換えて、第1プラズマ処理工程における圧力よりも高い圧力にて第2プラズマ処理工程を行う、基板のプラズマ処理方法。
  2. 第2プラズマ処理工程を実施する際に、第1プラズマ処理工程における冷却ガスの圧力よりも低い圧力に切り換える、請求項に記載の基板のプラズマ処理方法。
  3. 第2プラズマ処理工程を実施する際の静電吸着の駆動電圧と、第2プラズマ処理工程におけるチャンバ内部の圧力と冷却ガスとの差圧がゼロである、請求項に記載の基板のプラズマ処理方法。
  4. 基板としてサファイア基板を用い、第1プラズマ処理工程において、サファイア基板の表面に微小な凹凸構造を形成するプロセスを、プラズマ処理として行う、請求項に記載の基板のプラズマ処理方法。
  5. 第1プラズマ処理工程における処理ガスとしてBClを用い、
    第2プラズマ処理工程における処理ガスとしてO/CFを用いる、請求項に記載の基板のプラズマ処理方法。
  6. 第2プラズマ処理工程の終了後、除電プラズマを発生させて、基板と基板保持部との間の残留静電吸着力を低減させる除電工程を実施する、請求項からのいずれか1つに記載の基板のプラズマ処理方法。
  7. 第2プラズマ処理工程において、除去した副生成物を基板保持部の側面に集積させる、請求項1からのいずれか1つに記載の基板のプラズマ処理方法。
JP2011014335A 2010-10-08 2011-01-26 基板のプラズマ処理方法 Active JP5638405B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2011014335A JP5638405B2 (ja) 2010-10-08 2011-01-26 基板のプラズマ処理方法
CN201180048666.9A CN103155117B (zh) 2010-10-08 2011-09-29 基板的等离子体处理方法
US13/823,955 US9073385B2 (en) 2010-10-08 2011-09-29 Plasma processing method for substrates
PCT/JP2011/005501 WO2012046418A1 (ja) 2010-10-08 2011-09-29 基板のプラズマ処理方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010228621 2010-10-08
JP2010228621 2010-10-08
JP2011014335A JP5638405B2 (ja) 2010-10-08 2011-01-26 基板のプラズマ処理方法

Publications (2)

Publication Number Publication Date
JP2012099781A JP2012099781A (ja) 2012-05-24
JP5638405B2 true JP5638405B2 (ja) 2014-12-10

Family

ID=45927425

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011014335A Active JP5638405B2 (ja) 2010-10-08 2011-01-26 基板のプラズマ処理方法

Country Status (4)

Country Link
US (1) US9073385B2 (ja)
JP (1) JP5638405B2 (ja)
CN (1) CN103155117B (ja)
WO (1) WO2012046418A1 (ja)

Families Citing this family (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5351877B2 (ja) * 2010-12-15 2013-11-27 パナソニック株式会社 基板のプラズマ処理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP2013254903A (ja) * 2012-06-08 2013-12-19 Panasonic Corp 基板のプラズマ処理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6273188B2 (ja) * 2013-10-31 2018-01-31 東京エレクトロン株式会社 プラズマ処理方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) * 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150267295A1 (en) * 2014-03-19 2015-09-24 Asm Ip Holding B.V. Removable substrate tray and assembly and reactor including same
US9410249B2 (en) * 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
JP6377975B2 (ja) * 2014-06-23 2018-08-22 新光電気工業株式会社 基板固定装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN105576101B (zh) * 2014-10-10 2018-07-06 北京北方华创微电子装备有限公司 一种盖板及承载装置
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
TW201639063A (zh) * 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN108335978B (zh) * 2017-01-20 2022-08-26 东京毅力科创株式会社 等离子体处理装置
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US20200118802A1 (en) * 2018-10-11 2020-04-16 Lin-Sheng Lu Masking structure for a wafer supporting plate
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
WO2020123909A1 (en) 2018-12-14 2020-06-18 Applied Materials, Inc. Handling and processing double-sided devices on fragile substrates
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
JP7229904B2 (ja) * 2019-11-29 2023-02-28 東京エレクトロン株式会社 プラズマ処理装置における載置台のクリーニング方法およびプラズマ処理装置
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7270863B1 (ja) 2019-11-29 2023-05-10 東京エレクトロン株式会社 プラズマ処理装置における載置台のクリーニング方法およびプラズマ処理装置
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3394351B2 (ja) * 1995-02-27 2003-04-07 株式会社アルバック プラズマcvd装置
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
JP4088453B2 (ja) * 2002-02-14 2008-05-21 株式会社日立グローバルストレージテクノロジーズ 垂直記録用磁気ヘッド及びそれを搭載した磁気ディスク装置
JP2004079664A (ja) * 2002-08-13 2004-03-11 Seiko Epson Corp エッチング装置および反応生成物の除去方法
JP2004203675A (ja) * 2002-12-25 2004-07-22 Toshiba Corp 単結晶アルミナの加工方法
US7736528B2 (en) * 2005-10-12 2010-06-15 Panasonic Corporation Plasma processing apparatus and plasma processing method
JP2007109771A (ja) * 2005-10-12 2007-04-26 Matsushita Electric Ind Co Ltd プラズマ処理装置用のトレイ
JP2007266466A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、コンピュータ記憶媒体及び処理レシピが記憶された記憶媒体
JP4801522B2 (ja) 2006-07-21 2011-10-26 株式会社日立ハイテクノロジーズ 半導体製造装置及びプラズマ処理方法
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US8083963B2 (en) * 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
JP2008258491A (ja) * 2007-04-06 2008-10-23 Toshiba Corp 半導体製造装置
JP5390846B2 (ja) * 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
JP2010199475A (ja) 2009-02-27 2010-09-09 Tokyo Electron Ltd プラズマ処理装置のクリーニング方法及び記憶媒体

Also Published As

Publication number Publication date
WO2012046418A1 (ja) 2012-04-12
JP2012099781A (ja) 2012-05-24
US9073385B2 (en) 2015-07-07
US20130168353A1 (en) 2013-07-04
CN103155117B (zh) 2015-08-26
CN103155117A (zh) 2013-06-12

Similar Documents

Publication Publication Date Title
JP5638405B2 (ja) 基板のプラズマ処理方法
KR101425268B1 (ko) 기판 수수 방법
TWI492294B (zh) Plasma processing device and plasma processing method
JP5528391B2 (ja) 基板のプラズマ処理方法
KR101720670B1 (ko) 기판 처리 장치 및 그 클리닝 방법 및 프로그램을 기록한 기록매체
TW201021155A (en) Substrate holding member, substrate processing apparatus, and substrate processing method
TW200416851A (en) Method of manufacturing semiconductor device, plasma processing apparatus and plasma processing method
CN107180753B (zh) 元件芯片的制造方法
JP7454976B2 (ja) 基板支持台、プラズマ処理システム及びエッジリングの交換方法
US20080242086A1 (en) Plasma processing method and plasma processing apparatus
US9253862B2 (en) Plasma processing method and plasma processing apparatus
JP2018011007A (ja) プラズマエッチング方法、プラズマエッチング装置、および基板載置台
KR102264575B1 (ko) 기판 보유 지지 기구 및 성막 장치
JP4783094B2 (ja) プラズマ処理用環状部品、プラズマ処理装置、及び外側環状部材
JP5595549B2 (ja) プラズマ処理装置用トレイ、プラズマ処理装置、及びプラズマ処理方法
US10991551B2 (en) Cleaning method and plasma processing apparatus
TW201543532A (zh) 用於基板之電漿處理之方法及裝置
JP2012084654A (ja) ドライエッチング装置および基板の除電方法
JP5539436B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP5351877B2 (ja) 基板のプラズマ処理方法
CN112928010A (zh) 基板处理方法和基板处理装置
JP5618884B2 (ja) 基板のプラズマ処理方法
JP2016127173A (ja) プラズマ処理方法
JP5895240B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP6516125B2 (ja) プラズマ処理方法および電子部品の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140520

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140709

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140930

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141022

R151 Written notification of patent or utility model registration

Ref document number: 5638405

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151