JP4896899B2 - 基板処理装置およびパーティクル付着防止方法 - Google Patents

基板処理装置およびパーティクル付着防止方法 Download PDF

Info

Publication number
JP4896899B2
JP4896899B2 JP2008017628A JP2008017628A JP4896899B2 JP 4896899 B2 JP4896899 B2 JP 4896899B2 JP 2008017628 A JP2008017628 A JP 2008017628A JP 2008017628 A JP2008017628 A JP 2008017628A JP 4896899 B2 JP4896899 B2 JP 4896899B2
Authority
JP
Japan
Prior art keywords
substrate
processed
temperature
chamber
transfer chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008017628A
Other languages
English (en)
Other versions
JP2008211196A (ja
Inventor
明威 田村
輝幸 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008017628A priority Critical patent/JP4896899B2/ja
Publication of JP2008211196A publication Critical patent/JP2008211196A/ja
Application granted granted Critical
Publication of JP4896899B2 publication Critical patent/JP4896899B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3476Testing and control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Description

本発明は,被処理基板の表面上へのパーティクルの付着を防止可能な基板処理装置およびパーティクル付着防止方法に関する。
ガラス基板(例えば液晶基板)や半導体ウエハ(以下,単に「ウエハ」とも称する)などの被処理基板に対してエッチング処理,成膜処理などの所定のプロセス処理を施す基板処理装置は,例えばウエハに所定の処理を施すための処理室にロードロック室を接続してなる処理ユニットを備える。また,装置内に搬入される未処理ウエハや装置外に搬出される処理済ウエハを収容するカセット容器がセットされるカセット台,カセット容器の蓋を脱着する蓋外し機構(例えば特許文献1参照),カセット容器と処理ユニットとの間のウエハの受け渡しを行う搬送アームなどの搬送機構を有する搬送室を備える。
このような基板処理装置において,カセット容器に収容された未処理ウエハがカセット台にセットされると,カセット容器の蓋が蓋外し機構によって外される。そして,搬送室に備えられた搬送機構によってカセット容器から未処理ウエハが取り出され,処理ユニットへ受け渡される。この未処理ウエハはロードロック室を介して処理室に搬送されて,ここで所定の処理が施される。処理室での処理が終了した処理済ウエハは,処理室からロードロック室に戻される。搬送室に備えられた搬送機構は,ロードロック室に戻された処理済ウエハを受け取って,カセット容器へ搬送する。
このように,搬送室内では搬送機構による未処理ウエハや処理済ウエハの搬送が行われるため,これらウエハの搬送等の動作によって搬送室内にパーティクル(例えば塵,ゴミ,付着物,反応生成物など)が浮遊する。このため,ウエハの搬送中にそのウエハの表面上にパーティクルが付着する虞があり,もしパーティクルが付着した状態でウエハのプロセス処理が行われると,それが歩留り低下を招く可能性がある。例えばエッチングプロセスでは,ウエハの表面上に付着したパーティクルがマスクとなってエッチング残りを発生させるおそれがあり,また成膜プロセスでは,ウエハの表面上に付着したパーティクルが核となって成長することにより膜質を低下させるおそれがある。このため,搬送室内には,一般に,Nなどの不活性ガスや清浄空気がHEPA(High Efficiency Particulate Air)フィルタやULPA(Ultra Low Penetration Air)フィルタを介して供給されている。
ところが,搬送室内にHEPAフィルタやULPAフィルタを介して清浄空気などを供給させるだけでは,除去しきれない汚染物質もある。例えば搬送機構や蓋外し機構などの駆動系に用いられるグリースなどの潤滑剤の分子,処理済ウエハ上に付着してウエハとともに入り込む配線材などから発生する有機物成分,水分子やアンモニア等の不純なガス分子などは除去しきれずに,搬送室内に浮遊する虞がある(例えば特許文献2参照)。
このため,従来は,HEPAフィルタやULPAフィルタの他に活性炭を用いたケミカルフィルタを設けて搬送室内の汚染物質量を軽減させたり,パーツの選定や装置調整によって搬送室内のパーティクルの発生を抑えたりする試みも続けられている。
ところで,上述したように基板処理装置は,カセット容器に収容されたウエハを処理する際には,少なくとも1つ以上の室,すなわち搬送室,ロードロック室を介して処理室まで搬送する。また,処理ユニットがいわゆるクラスタツールで構成される基板処理装置では,共通搬送室の周囲に複数の処理室が接続され,共通搬送室はロードロック室を介して上述の搬送ユニットにおける搬送室に接続される。このような基板処理装置では,カセット容器に収容されたウエハを処理する際には,例えば上述の搬送室,ロードロック室,共通搬送室を介して処理室まで搬送する。従って,ウエハがカセット容器から処理室まで搬送されて処理室内で処理されるまでに,上述した搬送室のみならず,その他の各室でパーティクルが発生する可能性がある。このため,従来,各室内においてパーティクルを発生させないための様々な技術が案出されている。例えば特許文献3には,反応室(処理室)と搬送室の内部の温度を調整することによって各内壁に反応副生成物を付着させないようにする技術が記載されている。この技術によれば,反応室と搬送室の各内壁に付着した反応副生成物に起因するパーティクルの発生を抑制することができる。
特開平10−125763号公報 特開2003−7799号公報 特開平9−82781号公報 特開2005−354025号公報
しかしながら,上記のような対策を採ったとしても,半導体処理装置の各室内からパーティクルを完全に排除することは極めて困難である。近年では半導体集積回路におけるデザインルールの微細化がますます進み,極僅かのパーティクルでも,処理室内で処理を行う前の段階(カセット容器から最終的に処理室まで搬送して処理を行うまでの段階)で,できる限りウエハの表面上に付着させないような技術が所望されている。すなわち,パーティクルが付着していない清浄なウエハを処理室へ搬送するために,各室内のパーティクル量を低減する技術だけでなく,各室内においてウエハの表面上にパーティクルを付着させない技術も重要となってきている。
なお,特許文献4には,搬送アームのウエハ載置部に所定の温度勾配を形成することによって,この載置部に載置されたウエハ表面上に付着したパーティクルを剥離して除去する方法が開示されている。これによれば,搬送アームのウエハ載置部にウエハを載置させたたまま,パーティクルを除去することができるので,スループットを向上させることができる。
ところが,特許文献4に記載の技術は,ウエハに付着してしまったパーティクルを剥離して除去するものであり,ウエハの表面上にパーティクルを付着させないようにするものではない。特許文献4に記載のように,いったんウエハに付着したパーティクルを効果的に剥離して除去するためには,ある程度のエネルギーが必要になるので,ウエハ載置部に加える温度を比較的高く(例えば処理室の温度よりも30K以上)に設定することが必要となる。ただし,ウエハに対して高い熱を加えることは,ウエハ品質の維持や省エネルギー化の観点からもできるだけ回避したいものである。また,微小なパーティクルほど付着力が強い傾向にある。したがって,この技術を用いてもすべてのパーティクルを除去することは不可能である。このため,ウエハを高い温度にすることなくウエハの表面上にパーティクルを付着させないようにして,清浄な状態のウエハを処理室へ搬送して所定の処理を施すことができる技術がより望まれている。
本発明は,このような問題に鑑みてなされたもので,その目的とするところは,最終的に処理室まで搬送される被処理基板の表面上にパーティクルが付着することを,処理室での処理を行う前の段階で効果的に防止することができる基板処理装置およびパーティクル付着防止方法を提供することにある。
上記課題を解決するために,本発明のある観点によれば,被処理基板を収容する基板収容容器がセットされる搬出入口を介して前記基板収納容器との間で前記被処理基板の受け渡しを行う搬送室と,前記被処理基板に対して所定の処理を施す処理室と,前記処理室と前記搬送室とを接続するロードロック室と,前記搬送室と前記ロードロック室のうちの少なくとも一室に前記被処理基板を搬入する際に,搬入する直前の前記被処理基板の温度が搬入しようとする室の室内温度よりも高くなるように,前記被処理基板の温度とその室の室内温度のうちの少なくとも一方を調整する温度調整手段と,を備えたことを特徴とする基板処理装置が提供される。なお,前記ロードロック室は,前記処理室に直接接続されている場合のみならず,また共通搬送室を介して接続されている場合も含まれる。
また,本発明の別の観点によれば,被処理基板を収容する基板収容容器がセットされる搬出入口を介して前記基板収納容器との間で前記被処理基板の受け渡しを行う搬送室と,前記被処理基板に対して所定の処理を施す処理室と,前記処理室と前記搬送室とを接続するロードロック室と,を備える基板処理装置における前記被処理基板の表面上へのパーティクルの付着を防止する方法であって,前記搬送室と前記ロードロック室のうちの少なくとも一室に前記被処理基板を搬入する際に,搬入する直前の前記被処理基板の温度が搬入しようとする室の室内温度よりも高くなるように,前記被処理基板の温度とその室の室内温度のうちの少なくとも一方を調整することを特徴とするパーティクル付着防止方法が提供される。
このような本発明にかかる装置または方法によれば,搬入しようとする室の室内温度よりも高い温度の被処理基板がその室に搬入されるので,その室内では被処理基板の表面上の雰囲気に温度勾配が生じる。この温度勾配によって,その室内で浮遊するパーティクルが被処理基板の表面に近づくほど,そのパーティクルには被処理基板から離れる方向の力が大きく働くので,被処理基板の表面にパーティクルが付着することを防止できる。
また,上記温度調整手段には,前記基板収容容器から前記搬送室に搬送する直前の前記被処理基板の温度が前記搬送室の室内温度よりも高くなるように,前記基板収容容器に収容された前記被処理基板の温度と前記搬送室の室内温度のうちの少なくとも一方を調整する手段が含まれる。これによって,基板収容容器から搬送室に被処理基板を搬送したときに,搬送室内においてこの被処理基板の表面にパーティクルが付着することを防止できる。
また,上記温度調整手段には,さらに前記搬送室から前記ロードロック室に搬送する直前の前記被処理基板の温度が前記ロードロック室の室内温度よりも高くなるように,前記搬送室内の前記被処理基板の温度と前記ロードロック室の室内温度のうちの少なくとも一方を調整する手段が含まれる。これによって,搬送室からロードロック室に被処理基板を搬送したときに,ロードロック室内においてこの被処理基板の表面にパーティクルが付着することを防止できる。
また,上記温度調整手段には,さらに前記ロードロック室から前記搬送室に搬送する直前の前記被処理基板の温度が前記搬送室の室内温度よりも高くなるように,前記ロードロック室内の前記被処理基板の温度と前記搬送室の室内温度のうちの少なくとも一方を調整する手段が含まれる。これにより,処理室で処理された処理済基板を基板収容容器まで戻す場合においても,ロードロック室から搬送室に搬入される際にその処理済基板の表面上にパーティクルが付着することを防止できる。
また,上記温度調整手段は,前記被処理基板に対して所定の波長を含む光を照射して,前記被処理基板の温度を調整する光照射部を含むようにしてもよい。これによれば,光エネルギーによって,被処理基板を暖めることができる。この場合,所定の波長の光としては,例えば近赤外線光などの熱線を用いる。これにより,被処理基板が例えばシリコン基板の場合にも,その被処理基板に近赤外線光を吸収させて熱作用を起こさせることができるため,被処理基板を効率よく暖めることができる。
また,上記温度調整手段は,搬入する直前の前記被処理基板の温度よりも低い温度に調整された冷気を,前記被処理基板を搬入しようとする室に供給して,その室の室内温度を調整する冷気供給部を含むようにしてもよい。これによれば,前記被処理基板を搬入しようとする室の室内温度を下げることによって,被処理基板の温度がその室の室内温度よりも相対的に高くなるように調整することができる。
この場合,上記冷気供給部は,前記被処理基板を搬入しようとする室の室内へ外気を導入する給気部と,前記給気部が導入した前記外気を冷却して前記冷気を生成する冷却部と,を含むように構成され得る。これによれば,前記被処理基板を搬入しようとする室の室内に冷気の流れ(例えばダウンフロー)を形成しつつその部屋の室内温度を下げることができる。この結果,その室の室内のパーティクル量を低減させるとともに,被処理基板の表面上にパーティクルが付着しないようにすることができる。
また,上記温度調整手段は,搬入する直前の前記被処理基板の温度が搬入しようとする室の室内温度よりも2〜12℃高くなるように,前記被処理基板の温度とその室の室内温度のうちの少なくとも一方を調整することが好ましい。このように,2〜12℃という比較的小さい温度差を設けるだけでよいので,基板処理装置内を搬送される被処理基板に対して温度による影響を与えることなく,被処理基板の表面上へパーティクルが付着することを極めて効果的に防止することができる。また,2〜12℃という比較的小さい温度差を設けるだけでよいので,極めて短時間で被処理基板とこの被処理基板を搬入しようとする室との温度調整を行うことができる。これにより,スループットを低下させることなく,被処理基板の表面上へパーティクルが付着することを防止することができる。
上記課題を解決するために,本発明の別の観点によれば,被処理基板を収容する基板収容容器を搬出入口にセットし,この基板収容容器内の被処理基板を搬出入口を介して搬送室内に搬入して,前記被処理基板に対して所定の処理を施す基板処理装置であって,前記基板収容容器から前記搬送室に搬入される直前の前記被処理基板の温度が前記搬送室内の温度よりも高くなるように,前記被処理基板の温度と前記搬送室内の温度のうちの少なくとも一方を調整する温度調整手段を備えたことを特徴とする基板処理装置が提供される。
また,本発明の別の観点によれば,被処理基板を収容する基板収容容器を搬出入口にセットし,この基板収容容器内の被処理基板を搬出入口を介して搬送室内に搬入して,前記被処理基板に対して所定の処理を施す基板処理装置における前記被処理基板の表面上へのパーティクルの付着を防止する方法であって,前記基板収容容器から前記搬送室に搬入される直前の前記被処理基板の温度が前記搬送室内の温度よりも高くなるように,前記被処理基板の温度と前記搬送室内の温度のうちの少なくとも一方を調整することを特徴とするパーティクル付着防止方法が提供される。
このような本発明にかかる装置または方法によれば,搬送室内の温度よりも高い温度の被処理基板が搬送室に搬入されるので,搬送室内では被処理基板の表面上の雰囲気に温度勾配が生じる。この温度勾配によって,搬送室内で浮遊するパーティクルが被処理基板の表面に近づくほど,そのパーティクルには被処理基板から離れる方向の力が大きく働くので,被処理基板の表面にパーティクルが付着することを防止できる。特に,本発明によれば,大気圧雰囲気の搬送室内でも大きなパーティクル付着防止効果を得ることができる。
また,上記温度調整手段は,例えば前記搬送室内の温度よりも高い温度に調整された暖気を前記基板収容容器内に供給して,前記被処理基板の温度を調整する暖気供給部を含むように構成され得る。これによれば,温度調整された暖気によって,カセット容器から搬送室に搬入される直前の被処理基板の温度が搬送室内の温度よりも高くなるように被処理基板を暖めることができる。
この場合,上記暖気供給部は,例えば前記基板収容容器の近傍に配設し,前記基板収容容器に形成される通気口から前記暖気を前記基板収容容器内に供給するように構成され得る。これによれば,基板収容容器に形成された通気口から暖気を基板収容容器内に供給するので,基板収容容器の開閉蓋を閉じたまま被処理基板を暖めることができる。したがって,搬送室内の温度を上昇させることなく,基板収容容器から搬送室に搬送する直前に被処理基板だけを暖めることができる。また,基板収容容器に形成された通気口を利用するので,比較的簡単な構成で被処理基板を暖めることができる。
なお,上記搬送室は,その内側に前記搬出入口内に前記暖気を案内する気体流路を備え,前記暖気供給部は,前記気体流路を介して前記暖気を前記搬出入口から前記基板収容容器内に供給するように構成してもよい。これによれば,基板収容容器の開閉蓋を開けると同時に基板収容容器と開閉蓋の隙間から基板収容容器内に暖気を供給することができるので,基板収容容器から搬送室に搬送する直前に被処理基板を暖めることができる。
また,上記搬送室は,前記基板収容容器をセットする前記搬出入口を複数備え,前記搬出入口ごとに前記気体流路を備えることが好ましい。これによれば,各基板収容容器に効率よく暖気を案内することができる。
また,上記搬送室は,前記被処理基板を保持する基板保持部を有する搬送機構を備え,前記温度調整手段は,前記基板収容容器から被処理基板を取り出すために前記基板収容容器内に前記基板保持部を挿入して前記被処理基板を保持したときに,この被処理基板を加温する加温部を含むことが好ましい。これによれば,基板収容容器から搬送室に搬送する直前に被処理基板を暖めることができる。
上記課題を解決するために,本発明の別の観点によれば,被処理基板を収容する基板収容容器を搬出入口にセットし,この基板収容容器内の被処理基板を搬出入口を介して搬送室内に搬入して,前記被処理基板に対して所定の処理を施す基板処理装置であって,前記搬送室に接続され,前記被処理基板を一時的に収容する収容室を備え,前記収容室は,その内部に収容された前記被処理基板の温度が前記搬送室内の温度よりも高くなるように,前記被処理基板の温度を調整する温度調整手段を備えたことを特徴とする基板処理装置が提供される。
本発明にかかる装置によれば,被処理基板を収容室に収容して,そこで被処理基板の温度を搬送室内の温度よりも高い温度に暖めることができる。したがって,その後,被処理基板を搬送室内で搬送したとき被処理基板の表面近傍の雰囲気に温度勾配が生じるため,被処理基板の表面上へパーティクルが付着することを防止できる。
また,上記収容室は,例えば前記被処理基板の位置合わせを行う位置合わせ室とすることができる。そして,前記温度調整手段は,前記位置合わせ室内に設けられた載置台に載置された前記被処理基板の温度を調整するように構成され得る。
これによれば,位置合わせのために被処理基板を位置合わせ室に収容したとき,そこで被処理基板の温度を搬送室内の温度よりも高い温度に暖めることができる。したがって,その後,被処理基板を搬送室内で搬送したとき被処理基板の表面近傍の雰囲気に温度勾配が生じるため,被処理基板の表面上にパーティクルが付着することを防止できる。また,被処理基板の位置合わせを行いながら被処理基板を暖めることができるため,これらを別々に行う場合に比してスループットを向上させることができる。
この場合,上記温度調整手段は,例えば前記載置台を加温して前記被処理基板の温度を調整する加温部を含むようにしてもよく,また,前記載置台に載置された前記被処理基板に対して,所定の波長を含む光を照射して前記被処理基板の温度を調整する光照射部を含むようにしてもよい。これによれば,比較的簡単な構成で被処理基板の温度が搬送室内の温度よりも高くなるように被処理基板を暖めることができる。
上記課題を解決するために,本発明の別の観点によれば,基板収容容器に収容された被処理基板を少なくとも1つ以上の室を介して処理室まで搬送し,前記被処理基板に対して所定の処理を施す基板処理装置であって,前記基板収容容器から前記処理室までの間に搬入される室のうちの少なくとも一室又は各室に前記被処理基板を搬入する際に,搬入する直前の前記被処理基板の温度が搬入しようとする室の室内温度よりも高くなるように,前記被処理基板の温度とその室の室内温度のうちの少なくとも一方を調整する温度調整手段を備えたことを特徴とする基板処理装置が提供される。
このような装置によれば,搬入しようとする室の室内温度よりも高い温度の被処理基板がその室に搬入されるので,その室内では被処理基板の表面上の雰囲気に温度勾配が生じる。この温度勾配によって,その室内で浮遊するパーティクルが被処理基板の表面に近づくほど,そのパーティクルには被処理基板から離れる方向の力が大きく働くので,被処理基板の表面にパーティクルが付着することを防止できる。
また,上述した装置又は方法において,被処理基板を搬入しようとする室の内部の圧力は200Torr以上であることが好ましく,さらに300Torr以上であることがより好ましい。200Torr以上,または300Torr以上の室では,その室にその室内温度よりも高い温度の被処理基板が搬入されることによって,被処理基板の表面にパーティクルが付着することをより効果的に防止できる。
上記課題を解決するために,本発明の別の観点によれば,被処理基板を収容する基板収容容器がセットされる搬出入口を介して前記基板収納容器との間で前記被処理基板の受け渡しを行い,前記搬送室内を移動して前記被処理基板を搬送する搬送機構を設けた搬送室と,前記被処理基板に対して所定の処理を施す処理室と,前記処理室と前記搬送室とを接続するロードロック室と,前記搬送機構によって前記搬送室と前記ロードロック室のうちの少なくとも一室に前記被処理基板を搬入する際に,搬入する直前の前記被処理基板の温度が搬入しようとする室の室内温度よりも高くなるように,前記被処理基板の温度とその室の室内温度のうちの少なくとも一方を調整する温度調整手段とを備え,前記搬送機構は,前記被処理基板を保持する基板保持部と,前記被処理基板を保持したまま前記基板保持部を水平軸回りに回転可能な回転機構とを有し,前記搬送室内で前記被処理基板を搬送する際は,前記被処理基板をその被処理面が下向きになるように保持しながら前記搬送室内を移動することを特徴とする基板処理装置が提供される。
また,本発明の別の観点によれば,被処理基板を収容する基板収容容器がセットされる搬出入口を介して前記基板収納容器との間で前記被処理基板の受け渡しを行い,前記搬送室内を移動して前記被処理基板を搬送する搬送機構を設けた搬送室と,前記被処理基板に対して所定の処理を施す処理室と,前記処理室と前記搬送室とを接続するロードロック室と,を備える基板処理装置における前記被処理基板の被処理面へのパーティクルの付着を防止する方法であって,前記搬送機構は,前記被処理基板を保持する基板保持部と,前記被処理基板を保持したまま前記基板保持部を水平軸回りに回転可能な回転機構とを備え,前記搬送機構によって前記搬送室と前記ロードロック室のうちの少なくとも一室に前記被処理基板を搬入する際に,搬入する直前の前記被処理基板の温度が搬入しようとする室の室内温度よりも高くなるように,前記被処理基板の温度とその室の室内温度のうちの少なくとも一方を調整し,前記搬送機構によって前記搬送室内で前記被処理基板を搬送する際は,前記被処理基板をその被処理面が下向きになるように保持しながら前記搬送室内を移動することを特徴とするパーティクル付着防止方法が提供される。
このような本発明にかかる装置または方法によれば,搬入しようとする室の室内温度よりも高い温度の被処理基板がその室に搬入されるので,その室内では被処理基板の表面上の雰囲気に温度勾配が生じる。この温度勾配によって,被処理基板の表面にパーティクルが付着することを防止できる。
この場合,パーティクルのサイズが小さいほど搬送室の室内温度と被処理基板の温度との温度差を大きくすると被処理基板の被処理面に付着し難くすることができる。ところが,実際には上記温度差が大きくなるほど被処理基板の被処理面上に自然対流が生じ,この自然対流に起因して乱流が発生し易くなるので,極僅かではあるがパーティクルが付着し易くなってしまうことが発明者らの実験等により判明した。
この点,本発明によれば,搬送室内で被処理基板を搬送する際は被処理基板をその被処理面が下向きになるように保持しながら搬送室内を移動するので,搬送室内の温度と被処理基板の温度との温度差を大きくしても被処理基板の被処理面上に乱流が発生することを防止できる。これにより,パーティクルの付着防止効果をより一層高めることができる。
上記課題を解決するために,本発明の別の観点によれば,被処理基板を収容する基板収容容器がセットされる搬出入口を介して前記基板収納容器との間で前記被処理基板の受け渡しを行い,前記搬送室内を移動して前記被処理基板を搬送する搬送機構を設けた搬送室と,前記被処理基板に対して所定の処理を施す処理室と,前記処理室と前記搬送室とを接続するロードロック室と,前記搬送機構によって前記搬送室と前記ロードロック室のうちの少なくとも一室に前記被処理基板を搬入する際に,搬入する直前の前記被処理基板の温度が搬入しようとする室の室内温度よりも高くなるように,前記被処理基板の温度とその室の室内温度のうちの少なくとも一方を調整する温度調整手段とを備え,前記搬送室は,少なくとも前記搬送機構が前記搬送室内で移動する領域に,前記搬送機構によって搬送される前記被処理基板の被処理面に沿った気体の流れを強制的に形成する流れ形成手段を設けたことを特徴とする基板処理装置が提供される。
また,本発明の別の観点によれば,被処理基板を収容する基板収容容器がセットされる搬出入口を介して前記基板収納容器との間で前記被処理基板の受け渡しを行い,前記搬送室内を移動して前記被処理基板を搬送する搬送機構を設けた搬送室と,前記被処理基板に対して所定の処理を施す処理室と,前記処理室と前記搬送室とを接続するロードロック室と,を備える基板処理装置における前記被処理基板の被処理面へのパーティクルの付着を防止する方法であって,前記搬送機構によって前記搬送室と前記ロードロック室のうちの少なくとも一室に前記被処理基板を搬入する際に,搬入する直前の前記被処理基板の温度が搬入しようとする室の室内温度よりも高くなるように,前記被処理基板の温度とその室の室内温度のうちの少なくとも一方を調整し,前記搬送機構によって前記搬送室内で前記被処理基板を搬送する際は,前記搬送機構によって搬送される前記被処理基板の被処理面に沿った気体の流れを強制的に形成することを特徴とするパーティクル付着防止方法が提供される。
このような本発明にかかる装置または方法によれば,搬入しようとする室の室内温度よりも高い温度の被処理基板がその室に搬入されるので,その室内では被処理基板の表面上の雰囲気に温度勾配が生じる。この温度勾配によって,被処理基板の表面にパーティクルが付着することを防止できる。さらに,搬送室内で被処理基板を搬送する際は,被処理基板の被処理面に沿った気体の流れが形成される。これにより,搬送室内の温度と被処理基板の温度との温度差が所定の温度よりも大きくしても被処理基板の被処理面上に乱流が発生することを防止できる。これにより,パーティクルの付着防止効果をより一層高めることができる。
本発明によれば,処理室まで搬送される被処理基板の表面上へのパーティクルの付着を防止することができるため,処理室に清浄な状態の被処理基板を搬入し,この被処理基板に対して所定の処理を的確に施すことができる。また,処理済みの基板が基板収容容器まで戻される際にその搬送中の処理済基板の表面上にパーティクルが付着することを防止することもできる。
以下に添付図面を参照しながら,本発明の好適な実施の形態について詳細に説明する。なお,本明細書および図面において,実質的に同一の機能構成を有する構成要素については,同一の符号を付することにより重複説明を省略する。
(第1実施形態にかかる基板処理装置の構成例)
まず,本発明の第1実施形態にかかる基板処理装置100の構成例について図面を参照しながら説明する。ここでは,搬送室に1つまたは2つ以上の真空処理ユニットが接続された基板処理装置100を例に挙げて説明する。図1は第1実施形態に係る基板処理装置100の概略構成を示す断面図である。
基板処理装置100は,被処理基板例えば半導体ウエハ(以下,単に「ウエハ」ともいう)Wに対して成膜処理,エッチング処理等の各種の処理を行う1つまたは2つ以上の真空処理ユニット110と,この真空処理ユニット110に対してウエハWを搬出入させる搬送ユニット120とを備える。搬送ユニット120は,ウエハWを搬送する際に共用される搬送室200を有している。
図1には,2つの真空処理ユニット110A,110Bを搬送ユニット120の側面に配設した例を示す。各真空処理ユニット110A,110Bは,それぞれ処理室140A,140Bと,これらのそれぞれに連設され,真空引き可能に構成されたロードロック室150A,150Bを有している。各真空処理ユニット110A,110Bは,各処理室140A,140B内でウエハWに対して例えば同種の処理または異種の処理を施すようになっている。各処理室140A,140B内には,ウエハWを載置するための載置台142A,142Bがそれぞれ設けられている。なお,この処理室140およびロードロック室150よりなる真空処理ユニット110は2つに限定されるものではなく,さらに追加して設けてもよい。
上記搬送ユニット120の搬送室200は,例えばNガス等の不活性ガスや清浄空気が供給される断面略矩形状の箱体により構成されている。搬送室200における断面略矩形状の長辺を構成する一側面には,基板収容容器としてのカセット容器400A〜400Cがそれぞれセットされる複数のカセット台132A〜132Cが並設されている。図1では,例えば各カセット台132A〜132Cに3台のカセット容器400A〜400Cをそれぞれ1つずつ載置することができる例を挙げているが,カセット台とカセット容器の数はこれに限られず,例えば1台または2台であってもよく,また4台以上設けてもよい。
各カセット容器400A〜400Cは,例えば最大25枚のウエハWを等ピッチで多段に載置して収容できる密閉構造を有しており,内部は例えばNガス雰囲気で満たされている。そして,開閉ドア220A〜220Cを開くことによって,各カセット容器400A〜400Cと搬送室200との間のウエハWの搬出入が可能になる。
搬送室200内には,ウエハWをその長手方向(図1に示す矢印方向)に沿って搬送する搬送機構(搬送手段)160が設けられている。この搬送機構160は,例えば基台162上に固定され,この基台162は搬送室200内の中心部を長手方向に沿って設けられた案内レール168上を例えばリニアモータ駆動機構によりスライド移動可能に構成されている。搬送機構160は例えば図1に示すような2つの多関節アーム164A,164Bと2つの基板保持部としてのピック166A,166Bを備えるダブルアーム機構であってもよく,また1つのピックを備えるシングルアーム機構であってもよい。
搬送室200における断面略矩形状の長辺を構成する他側面には,上記2つのロードロック室150A,150Bの基端が,開閉可能に構成されたゲートバルブ(大気側ゲートバルブ)152A,152Bをそれぞれ介して連結されている。各ロードロック室150A,150Bの先端は,開閉可能に構成されたゲートバルブ(真空側ゲートバルブ)144A,144Bを介してそれぞれ上記処理室140A,140Bに連結されている。
各ロードロック室150A,150B内には,それぞれウエハWを一時的に載置して待機させる一対のバッファ用載置台154A,156Aおよび154B,156Bが設けられる。ここで搬送室200側のバッファ用載置台154A,154Bを第1バッファ用載置台とし,反対側のバッファ用載置台156A,156Bを第2バッファ用載置台とする。そして,両バッファ用載置台154A,156A間および154B,156B間には,屈伸,旋回および昇降可能になされた多関節アームよりなる個別搬送機構(真空側搬送機構)170A,170Bが設けられている。
これら個別搬送機構170A,170Bの先端にはピック172A,172Bが設けられ,このピック172A,172Bを用いて第1,第2の両バッファ用載置台154A,156Aおよび154B,156B間でウエハWの受け渡し移載を行い得るようになっている。なお,ロードロック室150A,150Bから処理室140A,140B内へのウエハWの搬出入は,それぞれ上記個別搬送機構170A,170Bを用いて行われる。
搬送室200の一端部,すなわち断面略矩形状の短辺を構成する一方の側面には,ゲートバルブ228を介してウエハWの位置決め装置としてのオリエンタ(プリアライメントステージ)180が接続されている。オリエンタ180は,例えば内部に回転載置台182とウエハWの周縁部を光学的に検出する光学センサ184とを備え,ウエハWのオリエンテーションフラットやノッチ等を検出して位置合わせを行う。
基板処理装置100には,装置全体の動作を制御する制御部300が設けられている。制御部300は,所定の設定情報に基づいて所定のプログラムを実行して各部を制御する。これによって,例えば,処理室140A,140Bにおけるプロセス処理,搬送室200,ロードロック室150A,150Bにおけるウエハ搬送処理,オリエンタ180における位置合わせ処理が行われる。また,制御部300は,後述の温度調整手段を制御する。
(第1実施形態にかかる温度調整手段の構成例)
次に,第1実施形態にかかる温度調整手段の構成例について,図2を参照しながら詳しく説明する。図2は,第1実施形態にかかる温度調整手段が接続されたカセット台132と,このカセット台132が接続された搬送室200の概略構成を示す縦断面図であって,搬送室200の端部方向から見た図である。なお,本実施形態では,カセット台132A〜132Cは相互に略同一の構成を有しており,またカセット容器400A〜400Cも相互に略同一の構成を有しているものとする。図2には代表的にカセット台132とカセット容器400のみを示す。
まず,搬送室200の構成例について説明する。搬送室200は,例えばステンレスやアルミ製の筐体210により区画形成されている。この筐体210の一側壁には,カセット容器400を載置するカセット台132が接続されている。また,筐体210の側壁のカセット容器400に対応する位置には,基板搬出入口212が形成されている。カセット容器400と搬送室200内との間のウエハWの受け渡しは,この基板搬出入口212を介して行われる。
基板搬出入口212には,この基板搬出入口212を開閉する開閉ドア220が設けられている。この開閉ドア220は,後述する開閉ドア駆動機構とともに,カセット容器400を閉塞する開閉蓋402を外したり,取り付けたりする蓋外し機構としても機能する。
以下,このような開閉ドア220の構成例について具体的に説明する。開閉ドア220には,例えばカセット容器400の開閉蓋402のカギ溝(図示せず)に嵌装されてこれを回転することにより,ロック・アンロックを行う蓋開閉機構226が内蔵されている。なお,このような蓋開閉機構226の詳細は,例えば特開2001−77177号公報に示されている。
また,開閉ドア220は,カセット容器400の開閉蓋402を開閉ドア220とともに開閉する方向に水平駆動させるとともに,昇降駆動させる開閉ドア移動機構に接続されている。開閉ドア移動機構は,例えば図2に示すように,開閉ドア220を水平駆動および昇降駆動するためのアクチュエータ222,開閉ドア220を取り付けるための伸縮ロッド224を備える。
伸縮ロッド224はアクチュエータ222によって伸縮し,開閉ドア220を昇降させることができるようになっている(図2の上下矢印方向)。また,伸縮ロッド224はアクチュエータ222によって開閉ドア220を基板搬出入口212に対して前後方向(カセット容器400の開閉蓋402を開閉する方向,すなわち図2の左右矢印方向)に駆動させることができるようになっている。例えば開閉ドア220を伸縮ロッド224によって基板搬出入口212の方向に前進させることにより,搬出入口212が閉塞する。
蓋開閉機構226によって開閉蓋402がアンロックされて,アクチュエータ222の動作によって開閉ドア220が開閉蓋402とともに後退することにより,開閉蓋402はカセット容器400から取り外されて開閉ドア220とともに搬送室200内に入り込む。そして,伸縮ロッド224が縮むと開閉ドア220は開閉蓋402とともに降下して待避され,基板搬出入口212はウエハWの搬出入が可能な開口状態となる。
搬送室200には,搬送室200内に一方向の空気の流れを形成するダウンフロー形成手段が設けられている。以下,ダウンフロー形成手段の具体的構成例について説明する。筐体210の天井部には複数の給気口214が形成されており,筐体210の底部には複数の排気口216が形成されている。複数の給気口214の下方には,外気を複数の給気口214から搬送室200内に導入するための給気ファン230が設けられており,給気ファン230の下方には給気ファン230によって導入された外気をフィルタリングする給気フィルタ232が設けられている。
給気フィルタ232は,給気口214から導入される外気に含まれるパーティクルを除去するパーティクル除去フィルタにより構成される。パーティクル除去フィルタとしては例えばHEPA(High Efficiency Particulate Air)フィルタ,ULPA(Ultra Low Penetration Air)フィルタなどが挙げられる。
なお,給気フィルタ232としては,上記のものに限られるものではない。例えば給気フィルタ232は,ケミカルフィルタ,活性炭フィルタなどにより構成してもよく,また,これらのフィルタをパーティクル除去フィルタと組み合わせて構成してもよい。
このような構成の給気ファン230によって複数の給気口214から搬送室200内に導入され,給気フィルタ232によって清浄化された外気は,複数の排気口216を通って搬送室200外へ排気される。このとき,搬送室200内には,天井部から底部へ向う空気の流れ,すなわちダウンフロー234が形成される。このダウンフロー234は,搬送室200内で発生したパーティクルを搬送室200外へ排出する役割を果たす。なお,複数の排気口216の上側(搬送室200の内側)または下側(搬送室200の外側)に,搬送室200内を積極的に排気するための排気ファンを備えるようにしてもよい。
また,筐体210の天井部には,筐体210の大きさに応じて複数の給気ファン230と複数の給気フィルタ232を配設することが好ましい。これによって,搬送室200内全体にわたり均一な流量のダウンフロー234が形成されることになる。
このような構成の搬送室200においては,給気フィルタ232を介して外気を導入することによって,外気とともに搬送室200内に入り込むパーティクルの量や大きさを抑えることができる。ところが,搬送室200内には,例えば基板搬送機構や蓋開閉機構などの駆動系の動作により,グリースなどの潤滑剤,部材間の摩擦などによりパーティクルが発生する。給気フィルタ232によるダウンフローだけでは,このような搬送室200内で発生するパーティクルの発生を完全には抑えきれない。このため,給気フィルタ232による搬送室200内で未処理のウエハWを搬送している間に,ウエハWの表面にパーティクルが付着する問題は依然として残ってしまう。
特に,近年では半導体集積回路におけるデザインルールの微細化がますます進み,極僅かのパーティクルでも,プロセス処理を行う前の段階でウエハWの表面上に付着させないような技術が所望されている。
そこで,本実施形態では,カセット容器400からみてウエハWの搬送方向の次室となる搬送室200に搬入される直前のウエハWの温度が搬送室200内の温度よりも高くなるように,ウエハWの温度と搬送室200内の温度のうちの少なくとも一方を調整する温度調整手段を設ける。これによれば,搬送室200内の温度よりも高い温度のウエハWが搬送室200に搬入されるので,搬送室200内ではウエハW表面上の雰囲気に温度勾配が生じる。この温度勾配によって,搬送室200内で浮遊するパーティクルがウエハWの表面に近づくほど,そのパーティクルにはウエハWから離れる方向の力が大きく働くので,ウエハW表面にパーティクルが付着することを防止できる。このような温度調整手段の具体的構成例については後述する。
(第1実施形態にかかる温度調整手段)
次に,第1実施形態にかかる温度調整手段の具体的構成例について図面を参照しながら説明する。第1実施形態にかかる温度調整手段は,次室としての搬送室200内の温度よりも高い温度に調整された暖気をカセット容器400内に供給して,カセット容器400内のウエハWの温度を調整する暖気供給部500を備える。
図3に暖気供給部500とその近傍の拡大図を示す。暖気供給部500は,カセット容器400の近傍,例えば図2,図3に示すようにカセット台132の下側に設けられる。暖気供給部500は,例えば基板処理装置100の各部を制御する制御部300によって制御される。
具体的には例えば制御部300は,搬送室200に設けられた温度センサTS1によって測定された搬送室200内の温度に基づいて,ウエハWの温度が搬送室200内の温度よりも所定温度(例えば3℃)だけ高くなるように,暖気供給部500を制御してカセット容器400に供給する暖気の温度を調整する。これにより,搬送室200内の温度に応じて,カセット容器400内のウエハWの温度を調整することができる。
なお,この場合の温度センサTS1による搬送室200内の温度の測定は,基板処理装置100の設置場所の温度環境が変化しない場合,例えばクリーンルーム内に設置した場合などには,基板処理装置100の稼働を開始する際に行うようにすれば足りる。但し,基板処理装置100の設置場所の温度環境が変化し易い場合には,定期的に搬送室200内の温度を測定するようにしてもよい。
本実施形態にかかるカセット容器400の底部には,例えばカセット容器400が搬送されているときの周辺環境の圧力変動に対応するための複数の通気口404が形成されている。また,カセット台132には,暖気供給部500が噴出する暖気502を,カセット容器400の通気口404を通じてカセット容器400内に導くための暖気供給口134と,カセット容器400内に入り込んだ暖気502を,別の通気口404を通じて暖気供給部500に戻すための暖気排出口136が形成されている。
このような構成によれば,暖気供給部500は,制御部300からの指令に従って所定の温度に調整された暖気502をカセット容器400内に供給する。このとき,暖気502は,暖気供給口134と通気口404を経由して,カセット台132にセットされているカセット容器400内に導入される。暖気502はカセット容器400内に入り込んだ後,通気口404と暖気排出口136を経由して暖気供給部500に戻る。このような暖気502によって,カセット容器400内の雰囲気の温度が上昇し,収容されているウエハWの温度は所定の温度に調整される。なお,ドライエアーを暖めて暖気502を生成することが好ましい。
(第1実施形態にかかる基板処理装置の動作例)
ここで,以上のような構成を有する第1実施形態にかかる基板処理装置100の動作例を説明する。まず,複数のウエハWを収容するカセット容器400がカセット台132にセットされると,制御部300は,暖気供給部500を制御して,所定温度の暖気502を暖気供給口134と通気口404を経由してカセット容器400内に供給する。
このとき,制御部300は,温度センサTS1から取得した搬送室200内の温度情報に基づいて目標温度を定め,カセット容器400内に収容されているウエハWが目標温度に達するために必要な暖気502の温度と供給時間を算出するようにしてもよい。そして,制御部300はこの算出結果に基づいて,暖気供給部500を制御する。この場合,ウエハWが目標温度に調整されたか否かは,暖気502の供給時間が経過したか否かで判断するようにしてもよい。本実施形態では,制御部300は,カセット容器400内に収容されているウエハWの温度が搬送室200内の温度よりも例えば3℃だけ高くなるように暖気供給部500を制御する。
制御部300は,カセット容器400内に収容されている処理前のウエハWが目標温度に調整されたと判断(例えば暖気502の所定の供給時間が経過したと判断)すると,開閉ドア220と開閉蓋402が搬送室200内に格納されるように伸縮ロッド224を水平方向および垂直方向に動作させる。これによって,基板搬出入口212が開放状態となる。
次に,搬送機構160は,カセット容器400から処理を行うウエハWを取り出し,このウエハWをカセット容器400からみてウエハWの搬送方向の次室にあたる搬送室200に搬入する。そして,搬送機構160は,ウエハWをさらにオリエンタ180まで搬送する。ウエハWはここで位置合わせされる。上記搬送機構160は,再度,位置合わせされたウエハWをオリエンタ180から受け取って保持し,このウエハWに対して処理を行う真空処理ユニット110Aまたは110Bのロードロック室150Aまたは150Bの直前まで搬送する。
続いて,ゲートバルブ152Aまたは152Bが開放されると,搬送機構160は,保持しているウエハWを搬送室200からロードロック室150Aまたは150B内へ搬入する。ロードロック室150Aまたは150BへのウエハWの搬入が終了すると,ゲートバルブ152Aまたは152Bが閉塞される。
個別搬送機構170Aまたは170Bは,ゲートバルブ144Aまたは144Bが開放されると,ロードロック室150Aまたは150B内へ搬入されたウエハWを処理室140Aまたは140Bへ搬入する。処理室140Aまたは140BへのウエハWの搬入が終了すると,ゲートバルブ144Aまたは144Bが閉塞され,処理室140Aまたは140BにおいてウエハWに対してエッチング処理や成膜処理などの所定の処理が施される。
そして,処理室140Aまたは140BでのウエハWの処理が終了して,ゲートバルブ144Aまたは144Bが開放されると,個別搬送機構170Aまたは170Bは,ウエハWをロードロック室150Aまたは150Bへ搬出する。ロードロック室150Aまたは150BへのウエハWの搬出が終了すると,ゲートバルブ144Aまたは144Bが閉塞され,搬送室200へのウエハWの搬出動作が行われる。すなわち,大気圧状態にある搬送室200とロードロック室150Aまたは150B内との圧力差をなくすために,ロードロック室150Aまたは150B内の大気開放が行われた上で,ゲートバルブ152Aまたは152Bが開放される。すると,搬送機構160は,処理済ウエハWをロードロック室150Aまたは150Bから搬送室200へ搬出し,さらに元のカセット容器400に戻す。以上のようにして,ウエハWに対する所定の処理が完了する。
このように,カセット容器400から取り出されたウエハWは,ロードロック室150Aまたは150Bに搬入されるまで搬送室200内を移動することになる。このとき,ウエハWの温度は搬送室200内の温度よりも所定温度(例えば3℃)高くなるように調整されているので,搬送室200内では常にウエハWの表面上に温度勾配が生じる。これにより,搬送室200内で搬送中のウエハWの表面上にパーティクルが付着することを防止できる。この結果,表面上にパーティクルが付着していない清浄な状態の未処理ウエハWを真空処理ユニット110すなわちロードロック室150Aまたは150Bから処理室140Aまたは140Bへ搬送することができる。
(実験結果)
ここで,搬送室200内の温度よりウエハWの温度を高く調整することによって,ウエハWの表面上にパーティクルが付着することを防止できる効果を確認した実験結果について,図4と図5を参照しながら説明する。ここでは,同じ種類の2枚の実験用ウエハWa,Wbを用意し,一方の実験用ウエハWaは実験室の室温のまま,他方の実験用ウエハWbは実験室の室温よりも高くなるように暖めて,同様のパーティクルが浮遊する大気圧雰囲気の実験室内に一定時間おいたときの両ウエハWa,Wbの表面上に付着したパーティクルの数を測定した。
図4は,一方の実験用ウエハWaを実験室の室温(24℃)のままとし,他方の実験用ウエハWbを実験室の室温より3℃高い27℃になるように暖めて実験を行った場合の両ウエハWa,Wbの表面上に付着したパーティクルの数を比較した結果を示すグラフである。図5は,同実験において両ウエハWa,Wbの表面上に付着したパーティクルをサイズごとに分類し,サイズごとの数をまとめた表である。
図4のグラフと図5の表から,ウエハWを暖めることによって表面に付着するパーティクルの量を大幅に減らすことができることが理解できる。この実験では,実験室の室温よりも3℃高い実験用ウエハWbへのパーティクルの付着量は,実験室の室温のままの実験用ウエハWaへのパーティクルの付着量の約3%に抑えられた。このように,ウエハWの温度を実験室の室温よりも3℃高くするだけで,パーティクルの付着量を全体で2桁も減少させることができることがわかる。また,この実験では特に,0.10〜0.20μmのパーティクルをウエハWbの表面にほとんど付着させないようにすることができた。実際に搬送室200内に浮遊するパーティクルのサイズとしては,この0.10〜0.20μmのものが最も多いと考えられるので,このサイズのパーティクルをほとんど付着させない本実施形態の効果は非常に大きい。
さらに,ウエハWの温度をより高くしたときの効果について,上記と同様の実験を行った。その結果について図6と図7を参照しながら説明する。この実験でも,同じ種類の2枚の実験用ウエハWc,Wdを用意する。図6は,一方の実験用ウエハWcを実験室の室温(24℃)のままとし,他方の実験用ウエハWdを実験室の室温より11℃高い35℃になるように暖めて実験を行った場合の両ウエハWc,Wdの表面上に付着したパーティクルの数を比較した結果を示すグラフである。図7は,同実験において両ウエハWc,Wdの表面上に付着したパーティクルをサイズごとに分類し,サイズごとの数をまとめた表である。
図6のグラフと図7の表と,図4のグラフと図5の表を比較すると,ウエハWの温度をさらに上昇させることによって,ウエハW表面へのパーティクルの付着を防止する効果が一層高まっていることがわかる。この実験では,実験用ウエハWdを実験室の室温との温度差が11℃になるように暖めた場合には,温度差が3℃になるように暖めた場合よりも少ない約2%にまでパーティクルの付着量が減少した。
上記の実験結果から,ウエハWの温度とウエハW表面へのパーティクル付着量との間に相関関係があると推定される。そこでさらに,室温に対して−4.2℃,−2.1℃,+1.0℃,+2.2,+4.7℃,+12.0℃,+15.7℃,+18.8℃に温度調整した実験用ウエハと,室温に調整した実験用ウエハとのパーティクル付着量を,パーティクルサイズ別(0.06μm,0.08μm,0.10μm)に比較する実験を行った。この実験結果を図8のグラフに示す。
図8のグラフから,ウエハWの温度を実験室の室温よりも高くすれば,パーティクルのサイズにかかわらず,ウエハWへのその付着量を減少させることができることがわかる。そして,室温を基準としてその室温とウエハWとの温度差が0℃から+12℃程度までの範囲では,ウエハWの温度を室温よりも高くするほど,パーティクルの付着量をより抑えることができることがわかる。これは,周囲の雰囲気の温度に対してウエハWの温度を高くすると,ウエハW表面近傍の雰囲気の温度勾配がより急になり,パーティクルの付着防止効果が高まるからであると考えられる。
ところが,実験用ウエハWの温度と実験室の室温との温度差を12℃よりもさらに高くすると,パーティクルの付着率が僅かながら悪化してしまう。したがって,ウエハWの温度と搬送室200内の温度との温度差の調整範囲(本実施形態ではウエハWの温度調整範囲)としては,2〜12℃程度が好ましいと考えられる。
この場合,ウエハWの温度と搬送室200内の温度差を大きくしようとするほど,温度調整に時間がかかるため,スループットを考慮すれば,ウエハWの温度調整値として例えば+2〜3℃とすることができる。この程度の温度差でもパーティクルの付着量を10%にまで低減させることができる。なお,ウエハWを+2〜12℃程度に暖めても,ウエハWの品質に影響を与えることはなく,また温度調整を行う際の消費電力も抑えることができる。
このように搬送室200内の温度よりウエハWの温度を高く調整することによって,ウエハWの表面上にパーティクルが付着することを防止できることが実験でも確認することができた。その理由については例えば以下のように考えられる。
ウエハWの表面近傍に浮遊するパーティクルは,例えば重力や静電気力などの引力が作用してウエハWの表面上に引きつけられる。このとき,ウエハWの温度がその周囲温度よりも高いと,ウエハWの表面近傍の雰囲気に温度勾配が形成され,その温度勾配の傾きに応じて例えば熱泳動力などの斥力が働く。
この温度勾配はウエハWの表面に近づくほど温度が高くなるように形成されるので,ウエハWの表面上に浮遊するパーティクルには,ウエハWの表面に近づくほど熱泳動力などの斥力が大きく働く。このため,ウエハWの表面近傍のパーティクルには,ウエハWの表面に近づくほど,温度勾配による熱泳動力などの斥力が重力や静電気力などの引力を上回るように働き,その結果ウエハWの表面にパーティクルが付着することを防止できると考えられる。
熱泳動力は,雰囲気圧力によってその大きさが変化することが知られている。その相関関係を確認するために,室温よりも高い温度に調整した実験用ウエハと,室温に調整した実験用ウエハとのパーティクル付着量を,雰囲気圧力別(10Torr,100Torr,200Torr,300Torr)に比較する実験を行った。この実験結果を図9のグラフに示す。図9に示す実験結果からもわかるように,10Torr,100Torrと真空度が高い場合には,室温よりも高い温度に調整した実験用ウエハと,室温に調整した実験用ウエハとの温度差に関わらず,パーティクル相対付着率は1倍に近い。すなわち,両者へのパーティクル付着量に顕著な差が見られない。
これに対して,200Torr,300Torrのように真空度が低くなると,パーティクル付着率が低くなることがわかる。特に,ウエハ表面に2℃以上の温度勾配が形成されていると,ウエハ表面へのパーティクルの付着率が著しく減少することがわかる。
また,ウエハWが真空雰囲気にある場合と大気圧雰囲気にある場合のウエハW表面近傍(例えばウエハW表面から1cm程度)の雰囲気に現れる温度勾配の特性曲線はそれぞれ図10(A),(B)のようになると推定される。このようなウエハW表面近傍の温度勾配に着目すれば,ウエハWが真空雰囲気にある場合には,図10(A)に示すようにウエハW表面から1cm程度までほぼ一定の傾きになるような温度勾配が生じるのに対して,ウエハWが大気圧雰囲気にある場合には,図10(B)に示すようにウエハW表面直上で傾きが急峻になるような温度勾配が生じると考えられる。このため,このような温度勾配により生じる熱泳動力などの斥力も,ウエハWが大気圧雰囲気にある場合の方が,真空圧雰囲気にある場合に比して,ウエハW表面に近づくほど急激に大きくなると考えられる。
したがって,たとえウエハWが大気圧雰囲気にある場合の熱泳動力が,真空圧雰囲気にある場合ほど大きくなくても,ウエハW表面に近づくほどその力が急激に大きくなるので,ウエハWの表面近傍のパーティクルには,ウエハWの表面に近づくほどその表面から離れる力が急峻に強くなる。この結果,ウエハWが大気圧雰囲気中にある場合でも,ウエハWの温度を周囲温度よりも2〜12℃程度高く調整するだけで,ウエハWの表面にパーティクルが付着することを防止できると考えられる。
なお,特許文献4に記載のように,一旦ウエハW表面上に付着してしまったパーティクルを剥離して除去するためには,大きなエネルギーが必要である。これに対して,本実施形態のように真空度の低い室内のウエハW表面に接近してくるパーティクルを付着させないようにするには,それほど大きな熱泳動力を与えなくても十分であると考えられる。
以上のように,第1実施形態によれば,ウエハWが収容されているカセット容器400に暖気502を供給することによって,ウエハWを次室となる搬送室200に搬入する前にウエハWの温度を所定温度だけ上昇させることができる。これによって,搬送室200内において,ウエハWの表面上へパーティクルが付着することを防止できる。そして,パーティクルが付着していない清浄なウエハWをロードロック室150に送ることができる。この結果,付着したパーティクルに起因するウエハWの処理不良の発生を防止できるので,歩留りを高めることができる。
特に,第1実施形態では,搬送室200に搬入される前にウエハWの温度を上昇させるため,搬送室200内にウエハWが入った瞬間からパーティクルの付着を防止することができる。また,第1実施形態によれば,カセット容器400に形成された通気口を利用してカセット容器400内に暖気502を供給するという比較的簡単な構成でウエハWを暖めることができる。
(第2実施形態にかかる温度調整手段)
次に,本発明の第2実施形態にかかる温度調整手段について,図11と図12を参照しながら説明する。第1実施形態ではカセット容器400の内部に通気口404から暖気502を供給する温度調整手段について説明したが,第2実施形態では搬送室内に形成した気体流路を介してカセット容器400の内部に基板搬出入口212から暖気を供給する温度調整手段について説明する。
図11は,第2実施形態にかかる温度調整手段を備えた搬送室201の概略構成を示す縦断面図であって,搬送室201の端部方向から見た図である。図11に示すように,第2実施形態にかかる搬送室201には,その内側に基板搬出入口212内に暖気供給部510からの暖気を案内する気体流路が設けられている。具体的には,基板搬出入口212を覆うように,上下方向に延出する隔壁240を設け,側壁210Aと隔壁240との間で気体流路を形成する。図11に示す隔壁240は,上側は筐体210の天井部まで延出し,下側は筐体210の底部まで延出している。気体流路は,この隔壁240によってロードロック室150側の搬送室201内の雰囲気と隔離される。なお,本実施形態では,上記気体流路のうち,基板搬出入口212よりも上側を上部気体流路242と称し,基板搬出入口212よりも下側を下部気体流路252と称する。
隔壁240の基板搬出入口212と対向する部位には,内側基板搬出入口260が開口しており,この内側基板搬出入口260は,カセット容器400の開閉蓋402を開閉ドア220で開いたときに,開閉ドア220の裏側で閉塞されるようになっている。
上部気体流路242の上端部には,暖気導入管514を介して暖気供給部510が接続されている。暖気供給部510は,制御部300から送信される制御信号に従って所定温度の暖気512を生成して,暖気導入管514を介して上部気体流路242に供給する。そして,カセット容器400の開閉蓋402を開閉ドア220で開いたときに,暖気供給部510から暖気512が供給されると,その暖気512は上部気体流路242に案内されてカセット容器400に入り込むようになっている。
暖気供給部510は,空気を暖め,ULPAフィルタを通じて暖気512を生成するようにしてもよいが,Nガスなどの不活性ガスを暖めて暖気512を生成するようにしてもよい。なお,上部気体流路242内には,例えばULPAフィルタを備えるようにしてもよい。
また,下部気体流路252は,筐体210の底部に形成されている排気口216に接続されている。これにより,カセット容器400から流れ出た暖気512を下部気体流路252を介して排気口216から搬送室201外へ排出することができる。また,本実施形態では,アクチュエータ222と伸縮ロッド224を備えた開閉ドア移動機構を下部気体流路252内に設けている。
なお,下部気体流路252には,暖気512を排気口216から積極的に排気するための排気ファンを設けるようにしてもよい。また,暖気512をNガスなどの不活性ガスを暖めて生成する場合には,排気口216から排気された暖気512を暖気供給部510に戻すための循環路を設けるようにしてもよい。
また,第2実施形態にかかる搬送室201には,カセット台138が備えられている。このカセット台138は,第1実施形態にかかる搬送室200に備えられたカセット台132に対して暖気供給口134と暖気排出口136が省略されたものである。
このような図11に示す搬送室201においては,開閉ドア220と開閉蓋402が搬送室201の内側方向に移動して,開閉ドア220がその裏側で内側基板搬出入口260を閉塞すると,図12に示すように気体流路(上部気体流路242,下部気体流路252)はロードロック室150側の搬送室201内の雰囲気と完全に隔離される。
この状態で,暖気供給部510から暖気512を供給すると,暖気512は上部気体流路242に案内されて基板搬出入口212からカセット容器400内に入り込む。すなわち,図12に示すようにカセット容器400の開閉蓋402が開放されると,カセット容器400と開閉蓋402との間に隙間ができるので,その隙間から上部気体流路242により案内された暖気512が入り込む。
その後,暖気512は,カセット容器400内に充満され,カセット容器400と開閉蓋402との隙間から下部気体流路252へ流れ,排気口216から搬送室201外へ排出される。こうして,カセット容器400内に入り込む暖気512によって,カセット容器400内の各ウエハWが暖められる。
なお,暖気512を供給している間は,上記のように開閉ドア220によって内側基板搬出入口260が閉塞されているため,カセット容器400内に流れ込んだ暖気512が搬送室201内のダウンフロー234が形成されている空間に流れ出すことはない。このため,暖気512の供給によって搬送室201内の温度が上昇することもない。
そして,所定時間経過すると,暖気供給部510からの暖気512の供給を停止する。これにより,カセット容器400内の各ウエハWの温度は搬送室201内の温度よりも所定温度(例えば3℃)だけ高くなるように上昇する。次いで,伸縮ロッド224によって開閉ドア220と開閉蓋402を下降させて,内側基板搬出入口260を開放する。こうして,基板搬出入口212と内側基板搬出入口260とが開放され,カセット容器400からウエハWを搬出できるようになる。搬送機構160は,カセット容器400から処理を行うウエハWを取り出し,第1実施形態と同様にウエハWを次室である搬送室201内に搬入する。
以上のように,第2実施形態によれば,ウエハWが収容されているカセット容器400に基板搬出入口212から暖気512を供給することによって,ウエハWを搬送室201に搬入する前にウエハWの温度を所定温度だけ上昇させることができる。これによって,第1実施形態と同様に,搬送室201内において,ウエハWの表面上へパーティクルが付着することを防止できる。
また,搬送室201に複数のカセット台138(図1のカセット台132A〜132C参照)が備えられ,各カセット台に対応する複数の基板搬出入口212が設けられる場合には,複数の基板搬出入口212をすべて覆うように隔壁240を設けてもよく,また基板搬出入口212ごとに隔壁240を設け,それぞれに気体流路(上部気体流路242,下部気体流路252)を構成してもよい。このように,基板搬出入口212ごとに気体流路を形成すれば,最小限の流量の暖気512で各カセット容器400(400A〜400C)内のウエハWを効率よく暖めることができる。
(第3実施形態にかかる温度調整手段)
次に,本発明の第3実施形態にかかる温度調整手段について,図13と図14を参照しながら説明する。第2実施形態ではアクチュエータ222と伸縮ロッド224を備えた開閉ドア移動機構を気体流路内に設けた場合について説明したが,第3実施形態では開閉ドア移動機構を気体流路の外側に設けた場合について説明する。
図13は,第3実施形態にかかる温度調整手段を備えた搬送室202の概略構成を示す縦断面図であって,搬送室202の端部方向から見た図である。図13に示す隔壁244の上側は給気フィルタ232よりも下側であって,少なくとも基板搬出入口212の上端部よりも上までを覆うように延出し,隔壁244の下側は少なくとも基板搬出入口212の下端部よりも下側までを覆うように延出している。なお,本実施形態では,上記気体流路のうち,基板搬出入口212よりも上側を上部気体流路246と称し,基板搬出入口212よりも下側を下部気体流路256と称する。
隔壁244の基板搬出入口212と対向する部位には,内側基板搬出入口262が開口しており,この内側基板搬出入口262は,開閉ドア220によって閉塞されるようになっている。
図13に示す暖気供給部510は,側壁210Aを通る暖気導入管514を介して上部気体流路246に接続されている。これにより,カセット容器400の開閉蓋402を開閉ドア220で開いたときに,暖気供給部510から暖気512が供給されると,その暖気512は上部気体流路246に案内されてカセット容器400に入り込むようになっている。
また,下部気体流路256には側壁210Aを通る暖気導出管516が接続されている。
これにより,カセット容器400から流れ出た暖気512を下部気体流路256を介して暖気導出管516から搬送室202外へ排出することができる。なお,下部気体流路256には,暖気512を積極的に排気するための排気ファンを設けるようにしてもよい。また,暖気512をNガスなどの不活性ガスを暖めて生成する場合には,排気された暖気512を暖気供給部510に戻すための循環路を暖気導出管516に接続するようにしてもよい。
このような図13に示す搬送室202においては,開閉ドア220と開閉蓋402が搬送室202の内側方向に移動しても,開閉ドア220が内側基板搬出入口262を閉塞したままとなり,図14に示すように気体流路(上部気体流路246,下部気体流路256)はロードロック室150側の搬送室202内の雰囲気と完全に隔離される。
この状態で,暖気供給部510から暖気512を供給すると,暖気512は上部気体流路246に案内されて基板搬出入口212からカセット容器400内に入り込む。すなわち,図14に示すようにカセット容器400の開閉蓋402が開放されると,カセット容器400と開閉蓋402との間に隙間ができるので,その隙間から上部気体流路246により案内された暖気512が入り込む。
その後,暖気512は,カセット容器400内に充満され,カセット容器400と開閉蓋402との隙間から下部気体流路256へ流れ,暖気導出管516から搬送室202外へ排出される。こうして,カセット容器400内に入り込む暖気512によって,カセット容器400内の各ウエハWが暖められる。
なお,第3実施形態の場合においても,第2実施形態の場合と同様に,暖気512を供給している間は,上記のように開閉ドア220によって内側基板搬出入口262が閉塞されているため,カセット容器400内に流れ込んだ暖気512が搬送室202内のダウンフロー234が形成されている空間に流れ出すことはない。このため,暖気512の供給によって搬送室202内の温度が上昇することもない。
そして,所定時間経過すると,暖気供給部510からの暖気512の供給を停止する。これにより,カセット容器400内の各ウエハWの温度は,所定温度(例えば3℃)だけ上昇する。次いで,伸縮ロッド224によって開閉ドア220と開閉蓋402をさらに搬送室202の内側方向へ水平移動させて内側基板搬出入口262から出した後,これらを下降させて内側基板搬出入口262を開放する。こうして,基板搬出入口212と内側基板搬出入口262とが開放され,カセット容器400からウエハWを搬出できるようになる。すなわち,搬送機構160によってカセット容器400から処理を行うウエハWを取り出し,第1実施形態と同様にウエハWを次室である搬送室202内に搬入する。
以上のように,第3実施形態によれば,第2実施形態と同様に,ウエハWが収容されているカセット容器400に基板搬出入口212から暖気512を供給することによって,ウエハWを搬送室202に搬入する前にウエハWの温度を搬送室202の温度よりも所定温度(例えば3℃)だけ上昇させることができる。これによって,第1実施形態と同様に,搬送室202内において,ウエハWの表面上へパーティクルが付着することを防止できる。また,第3実施形態にかかる気体流路は,少なくとも基板搬出入口212の上端から下端を覆う程度に短くなるので,効率よく暖気512を供給することができる。
また,第3実施形態の場合においては,第2実施形態の場合と同様に,搬送室202に複数のカセット台138(図1のカセット台132A〜132C参照)が備えられ,各カセット台に対応する複数の基板搬出入口212が設けられる場合には,基板搬出入口212をすべて覆うように隔壁244を設けてもよく,また基板搬出入口212ごとに隔壁244を設け,それぞれに気体流路(上部気体流路246,下部気体流路256)を構成してもよい。このように,基板搬出入口212ごとに気体流路を形成すれば,最小限の流量の暖気512で各カセット容器400(400A〜400C)内のウエハWを効率よく暖めることができる。
なお,第3実施形態では,カセット容器400内に導入された暖気512は,下部気体流路256を経由して搬送室202外に排出されるが,これに代えて,カセット容器400に通気口(図示せず)が形成されている場合には,その通気口から排出するようにしてもよい。この場合,通気口がカセット容器400の底部に形成されている場合には,第1実施形態にかかるカセット台132の暖気排出口136と同様に,カセット台138に通気口に連通する暖気排出口を設けるようにしてもよい。この構成によっても,カセット容器400内に収容されているウエハWを効率よく暖めることができる。
(第4実施形態にかかる温度調整手段)
次に,本発明の第4実施形態にかかる温度調整手段について,図15と図16を参照しながら説明する。第1〜第3実施形態ではカセット容器400の内部に暖気を供給してウエハWを暖める温度調整手段について説明したが,第4実施形態では光によってウエハWを暖める温度調整手段について説明する。
図15は,第4実施形態にかかる温度調整手段を備えた搬送室203の概略構成を示す縦断面図であって,搬送室203の端部方向から見た図である。第4実施形態にかかる搬送室203は,図15に示すように,カセット台138の上方に光照射部270と,この光照射部270を搬送室203の筐体210に固定するためのブラケット272を備えている。光照射部270は,制御部300から送信される制御信号に従って所定の波長を含む光274を所定のパワーで射出する。
図16は,第4実施形態にかかる光照射部270とカセット容器400の拡大図である。図16に示すように,ブラケット272は,光照射部270から発せられた光274がカセット容器400の上面に照射されるように筐体210に取り付けられる。
光照射部270は,例えばウエハWの材質であるシリコンに吸収されて熱作用を起こすことができる熱線(例えば近赤外線)を光274として照射する光源から構成される。この場合,カセット容器400の全体,または光274が照射される上面部分が近赤外線を透過する材料で構成されることが好ましい。これによって,光274はカセット容器400の壁を通過してウエハWに到達し,ウエハWに吸収される。こうして,ウエハWは暖められて,所定時間が経過すると,ウエハWの温度が搬送室203内の温度よりも所定温度(例えば3℃)だけ高くなるように上昇する。このような光照射部270は,温度センサTS1,制御部300などとともに,カセット容器400内のウエハWの温度を搬送室203内の温度に応じて調整する温度調整手段を構成する。
なお,図16に示すように光照射部270をカセット容器400の上側に設けた場合には,最上段に載置されているウエハWが先に暖められるため,暖められた最上段のウエハWから順番にカセット容器400から搬出するようにしてもよい。また,光照射部270は,ウエハWの材質に応じて他の波長の光を光274として照射する光源を用いてもよく,また,光照射部270によって,例えばカセット容器400を加熱して,間接的にウエハWを暖めるようにしてもよい。この場合,光照射部270としては,遠赤外線や可視光,その他の波長の光を光274として照射する光源を用いるようにしてもよい。
以上のように,第4実施形態によれば,ウエハWが収容されているカセット容器400に熱線などの光274を照射することによって,カセット容器400内のウエハWを効率よく暖めることができる。また,カセット容器400の開閉蓋402を閉じたままウエハWを暖めることができるので,ウエハWを搬送室203に搬入する前にウエハWの温度を所定温度だけ上昇させることができる。これにより,第1実施形態と同様に,次室である搬送室203内において,ウエハWの表面上へパーティクルが付着することを防止できる。
(第5実施形態にかかる温度調整手段)
次に,本発明の第5実施形態にかかる温度調整手段について,図17を参照しながら説明する。第1〜第3実施形態ではカセット容器400内に供給した暖気によってウエハWを暖める温度調整手段について説明し,第4実施形態ではカセット容器400に照射した光によってウエハWを暖める温度調整手段について説明したが,第5実施形態では搬送機構160のピック166を加熱することによって,ウエハWを暖める温度調整手段について説明する。
図17は,第5実施形態にかかるピック166の内部構造を示す図であって,カセット容器400内のウエハWを搬出するためにピック166がカセット容器400内に進入したところを示している。
図17に示すように,ピック166の内部には,加温部の1例としてヒートエレメント280が埋め込まれている。ヒートエレメント280は,多関節アーム164に埋め込まれた電力供給ライン282を介して電源284に接続されている。電源284は,制御部300から送信される制御信号に従って所定の電力を,電力供給ライン282を介してヒートエレメント280に供給する。これにより,ピック166が加熱され,ピック166上に載置されたウエハWを暖めることができる。このような電源284,電力供給ライン282,ヒートエレメント280は,温度センサTS1,制御部300などとともに,カセット容器400内に収容されているウエハWの温度を搬送室204内の温度に応じて調整する温度調整手段を構成する。
このような構成の第5実施形態では,カセット容器400からウエハWを取り出すために,カセット容器400内にピック166を挿入してウエハWを保持したときに,ピック166を加熱する。これにより,ピック166に保持されているウエハWが暖められ,ウエハWの温度が搬送室204の温度よりも所定温度(例えば3℃)だけ高くなるように上昇させることができる。ウエハWが所定の温度に暖められた後,ヒートエレメント280への電力の印加を停止してピック166の加熱を停止するとともに,多関節アーム164を縮ませてカセット容器400からウエハWを搬出する。なお,ウエハWの搬出する際に,ヒートエレメント280への電力の印加を停止せずに,いったん暖めたウエハWの温度が低下しない程度の電力に低減するようにしてもよい。
以上のように,第5実施形態によれば,カセット容器400からウエハWを搬出するためにピック166がカセット容器400内に進入したときにこのピック166を加熱することによって,ウエハWを次室である搬送室204に搬入する直前にウエハWの温度を所定温度だけ上昇させることができる。これによって,第1実施形態と同様に,搬送室204内において,ウエハWの表面上へパーティクルが付着することを防止できる。
また,第5実施形態によれば,カセット容器400に収容されている複数のウエハWのうち搬送室204に搬入されるウエハWのみを暖めることができる。したがって,より小さい消費エネルギーでウエハWを所定温度に暖めてウエハWの表面上に対するパーティクル付着を防止することができる。
(第6実施形態にかかる温度調整手段)
次に,本発明の第6実施形態にかかる温度調整手段について,図18を参照しながら説明する。第1〜第5実施形態ではカセット容器400のウエハWを暖めることによって,搬送室200〜204内の温度に比べてウエハWの温度を高く調整する温度調整手段について説明したが,第6実施形態では逆に,搬送室内の雰囲気を冷やすことによって,搬送室内の温度に比べてウエハWの温度を相対的に高くなるように調整する温度調整手段について説明する。
図18は,第6実施形態にかかる温度調整手段を備えた搬送室205の概略構成を示す縦断面図であって,搬送室205の端部方向から見た図である。本実施形態にかかる温度調整手段は,カセット容器400内のウエハWの温度よりも低い温度に調整された冷気を搬送室205内に供給して,搬送室205の温度を調整する冷気供給部としてダウンフロー冷却手段290を備える。
ダウンフロー冷却手段290は,搬送室205内へ外気を導入する給気部としての給気ファン230と,給気ファン230によって導入された外気を冷却して冷気を生成する冷却部を備える。冷却部は,例えば給気ファン(給気部)230と給気フィルタ232との間に設けられる室内熱交換部292と,室外熱交換部294と,これらの間で冷媒を循環させる冷媒管296から構成されている。
このような構成のダウンフロー冷却手段290は,制御部300から送信される制御信号に従って熱交換効率を変化させ,ダウンフロー236の温度を調整することができる。ダウンフロー冷却手段290は,温度センサTS1,制御部300などとともに,カセット容器400内に収容されているウエハWの温度に応じて搬送室205内の温度を調整する温度調整手段を構成する。
第6実施形態によれば,ダウンフロー冷却手段290によって冷却されたダウンフロー236によって搬送室205内の雰囲気が冷却される。これにより,搬送室205内に搬入されるウエハWの温度が相対的に所定温度(例えば3℃)だけ高くなるように,搬送室205の温度を調整することができる。これによって,カセット容器400から次室である搬送室205内にウエハWが搬入されたときには,ウエハWの温度が所定温度だけ高くなるので,搬送室205内において,ウエハWの表面上へパーティクルが付着することを防止できる。
また,第6実施形態によれば,ウエハWを暖める必要がない。したがって,カセット容器400から搬送室205に搬入されたウエハWのみならず,ロードロック室150から搬送室205に搬入された処理済のウエハWに所定の温度差が生じていれば,その処理済のウエハWについてもパーティクルの付着を防止することができる。
なお,第6実施形態の温度調整手段の構成と第1〜第5実施形態のいずれかの温度調整手段の構成とを組み合わせて,温度調整手段を構成するようにしてもよい。これによって,ウエハWの温度と搬送室の温度との両方を調整することができるので,ウエハWの温度と搬送室内の温度の差をより正確に調整することができる。
(第7実施形態にかかる温度調整手段)
次に,本発明の第7実施形態にかかる温度調整手段について,図19を参照しながら説明する。第1〜第5実施形態では,カセット容器400内のウエハWをカセット容器400からみて搬送方向の次室にあたる搬送室200〜204に搬入する直前に,カセット容器400内においてウエハWを暖める温度調整手段について説明した。これに対して,第7実施形態では,搬送室200に接続されている収容室内のウエハWをその収容室からみて搬送方向の次室にあたる搬送室200に搬入する直前に,その収容室内においてウエハWを暖める温度調整手段について説明する。このような収容室としては,搬送室200に接続されて,ウエハWを収容するものであれば,どのような形状および機能を有するものであってもよい。ここでは,収容室として,ウエハWの位置合わせを行う位置合わせ室例えばオリエンタに適用した場合を例に挙げて説明する。
図19は,第7実施形態にかかるオリエンタ180の概略構成を示す縦断面図であって,ウエハWが回転載置台182に載置されているところを示している。なお,図19では,図1に示す光学センサ184を省略している。図19に示すように,オリエンタ180内に備えられた回転載置台182の内部には,回転載置台182を加温してウエハWの温度を調整する加温部としてのヒートエレメント190が埋め込まれている。
ヒートエレメント190は,支柱186に埋め込まれた電力供給ライン192を介して電源194に接続されている。電源194は,制御部300から送信される制御信号に従って所定の電力を,電力供給ライン192を介してヒートエレメント190に供給する。このような電源194,電力供給ライン192,ヒートエレメント190は,温度センサTS1,制御部300などとともに,回転載置台182に載置されているウエハWの温度を搬送室200内の温度に応じて調整する温度調整手段を構成する。
第7実施形態にかかるオリエンタ180によれば,位置合わせのために回転載置台182にウエハWが載置されているときに回転載置台182を加熱して,ウエハWの温度が搬送室200の温度よりも所定温度(例えば3℃)だけ高くなるようにウエハWを暖めることができる。これによって,位置合わせが終了したウエハWが次室である搬送室200内に再び搬入されたとき,搬送室200内において,そのウエハWの表面上へパーティクルが付着することを防止できる。
また,第7実施形態によれば,オリエンタ180内でウエハWの位置合わせを行いながらウエハWを暖めることができるため,これらを別々に行う場合に比してスループットを向上させることができる。
なお,第7実施形態の温度調整手段の構成と第1〜第6の実施形態のいずれかの温度調整手段の構成とを組み合わせて,温度調整手段を構成するようにしてもよい。この場合,例えば暖気などによってカセット容器400内で既にウエハWの温度が調整されていても,オリエンタ180においてウエハWの温度を再調整することができる。したがって,その後にウエハWを,搬送室200内をロードロック室150まで搬送するまでそのウエハWの温度をより正確に保持することができる。
(第8実施形態にかかる温度調整手段)
次に,本発明の第8実施形態にかかる温度調整手段について,図20を参照しながら説明する。第7実施形態では回転載置台182を加熱することによってウエハWを暖める温度調整手段について説明したが,第8実施形態ではオリエンタ180内において光によってウエハWを暖める温度調整手段について説明する。
図20は,第8実施形態にかかるオリエンタ188の概略構成を示す縦断面図であって,ウエハWが回転載置台182に載置されているところを示している。なお,図20では,図1に示す光学センサ184の記載を省略している。図20に示すように,オリエンタ188は,光照射部196を備えている。
光照射部196は,制御部300から送信される制御信号に従って所定の波長を含む光198を所定のパワーで射出する。光照射部196は,回転載置台182上のウエハWの表面に光198が照射されるように,オリエンタ188の筐体の天井部に取り付けられている。光照射部196は,例えばウエハWに吸収される近赤外線を光198として照射する光源を用いることが好ましい。このような光照射部196は,温度センサTS1,制御部300などとともに,回転載置台182に載置されているウエハWの温度を搬送室200内の温度に応じて調整する温度調整手段を構成する。
第8実施形態にかかるオリエンタ188によれば,位置合わせのために回転載置台182にウエハWが載置されているときにウエハWに光198を照射して,ウエハWの温度が搬送室200の温度よりも所定温度(例えば3℃)だけ高くなるようにウエハWを暖めることができる。これによって,位置合わせが終了したウエハWが次室である搬送室200内に再び搬入されたとき,搬送室200内において,そのウエハWの表面上へパーティクルが付着することを防止できる。
なお,第8実施形態によれば,オリエンタ188の筐体内に光照射部196を組み込むだけで,例えば回転載置台182の構成を変えることなくウエハWを暖めることができる。したがって,基板処理装置100の製品コスト上昇を抑えつつ,搬送室200内でのウエハWへのパーティクルの付着を防止することができる。
(第9実施形態)
続いて,本発明の第9実施形態にかかる温度調整手段について,図21を参照しながら説明する。第1〜第8実施形態として,カセット容器400内またはオリエンタ180内のウエハWをカセット容器400またはオリエンタ180からみて搬送方向の次室にあたる搬送室200〜205に搬入する直前に,カセット容器400内またはオリエンタ180内においてウエハWを暖める温度調整手段について説明した。これに対して,第9実施形態として,搬送室内のウエハWを搬送室からみて搬送方向の次室にあたるロードロック室150に搬入する直前に,その搬送室内においてウエハWを暖める温度調整手段について説明する。
図21は,第9実施形態にかかる搬送室206の概略構成を示す縦断面図である。図21に示すように,この搬送室206は,第1実施形態にかかる搬送室200に対して,光照射部520を追加した構成を有する。また,第9実施形態においては,ロードロック室150に温度センサTS2を取り付けてロードロック室150内の温度を測定し,その測定データを制御部300に送信するようにしてもよい。
光照射部520は,制御部300から送信される制御信号に従って所定の波長の光522を所定のパワーで射出する。光照射部520は,搬送機構160のピック166に保持されているウエハWの表面に光522が照射されるように,搬送室206の筐体の側壁面内側に取り付けられている。光照射部520は,例えばウエハWに吸収される近赤外線を光522として照射する光源を用いることが好ましい。このような光照射部520は,温度センサTS2,制御部300などとともに,ピック166に保持されているウエハWの温度をロードロック室150内の温度に応じて調整する温度調整手段を構成する。
第9実施形態にかかる温度調整手段によれば,ピック166に保持されているウエハWに光522を照射して,ウエハWの温度がロードロック室150の温度よりも所定温度(例えば3℃)だけ高くなるようにウエハWを暖めることができる。これによって,ウエハWが次室であるロードロック室150内に搬入されたとき,ロードロック室150内において,そのウエハWの表面上へパーティクルが付着することを防止できる。
なお,第9実施形態によれば,搬送室206の筐体内に光照射部520を組み込むだけで,例えばピック166の構成を変えることなく,ウエハWを暖めることができる。したがって,基板処理装置100の製品コスト上昇を抑えつつ,ロードロック室150内でのウエハWへのパーティクルの付着を防止することができる。
(第10実施形態)
次いで,本発明の第10実施形態にかかる温度調整手段について,図面を参照しながら説明する。上記の第9実施形態にかかる温度調整手段は,搬送室200からロードロック室150に搬送されたウエハWにパーティクルを付着させないためのものである。これに対して,第10実施形態にかかる温度調整手段は,ウエハWをロードロック室150から搬送室200に搬送した(戻した)際に,搬送室200内においてウエハWにパーティクルを付着させないためのものである。
図22は,第10実施形態にかかるロードロック室150の概略構成を示す縦断面図である。既に図1を参照しながら説明したように,ロードロック室150は,ウエハWを一時的に載置して待機させる一対のバッファ用載置台154,156を備えている。また,両バッファ用載置台154,156間には,個別搬送機構170が設けられている。さらに,ロードロック室150は光照射部530を備えている。なお,ロードロック室150は,図22に示した構成要素の他にも例えば,ロードロック室150内の圧力を調整する手段を備えている。この圧力調整手段などについては図示を省略する。
光照射部530は,制御部300から送信される制御信号に従って所定の波長を含む光532を所定のパワーで射出する。光照射部530は,一対のバッファ用載置台154,156のうちいずれか一方(例えばバッファ用載置台154)に載置されているウエハWの表面に光532が照射されるように,例えばロードロック室150の筐体の天井面内側に取り付けられている。光照射部530は,例えばウエハWに吸収される近赤外線を光532として照射する光源を用いることが好ましい。このような光照射部530は,温度センサTS1,制御部300などとともに,バッファ用載置台154に載置されているウエハWの温度を,次室としての搬送室200内の温度に応じて調整する温度調整手段を構成する。
第10実施形態にかかる温度調整手段によれば,ロードロック室150内のバッファ用載置台154に載置されているウエハWに光532を照射して,ウエハWの温度が搬送室200の温度よりも所定温度(例えば3℃)だけ高くなるようにウエハWを暖めることができる。これによって,ウエハWが次室である搬送室200内に搬入されたとき,搬送室200内において,そのウエハWの表面上へパーティクルが付着することを防止できる。
なお,第10実施形態にかかる温度調整手段は,ロードロック室150内のバッファ用載置台154に載置されているウエハWに光532を照射してこれを暖める構成を有しているが,例えばバッファ用載置台154に発熱機構を組み込んで,バッファ用載置台154に載置されたウエハWを暖めるようにしてもよい。この構成によっても,ロードロック室150から次室である搬送室200に搬送されたウエハWへのパーティクルの付着を防止することができる。
(第11実施形態にかかる基板処理装置の構成例)
次に,本発明の第11実施形態にかかる基板処理装置102の構成例について図面を参照しながら説明する。図23は第11実施形態に係る基板処理装置102の概略構成を示す断面図である。この基板処理装置102は,クラスタツールタイプであり,ウエハWに対して成膜処理,エッチング処理などの各種の処理を行う真空処理ユニット600と,この真空処理ユニット600に対してウエハWを搬出入する搬送ユニットと,基板処理装置102全体の動作を制御する制御部700を備える。なお,基板処理装置102が備える搬送ユニットの基本機能構成は,上記第1実施形態にかかる基板処理装置100が備える搬送ユニット120と共通点が多い。したがって,ここでは基板処理装置102が備える搬送ユニットおよびその構成要素に,搬送ユニット120と同一の符号を付することにより重複説明を省略する。
真空処理ユニット600は,図23に示すように,断面多角形(例えば六角形)に形成された共通搬送室610と,その周囲に気密に接続された複数の処理室620(第1〜第4処理室620A〜620D)および第1,第2ロードロック室630M,630Nから構成されている。
第1〜第4処理室620A〜620Dはそれぞれ,ゲートバルブ640A〜640Dを介して共通搬送室610に接続されている。また,第1,第2ロードロック室630M,630Nの先端はそれぞれ,ゲートバルブ(真空側ゲートバルブ)640M,640Nを介して共通搬送室610に接続されており,第1,第2ロードロック室630M,630Nの基端はそれぞれ,ゲートバルブ(大気側ゲートバルブ)642M,642Nを介して搬送室200の他側面に接続されている。
処理室620A〜620Dはそれぞれ,内部に載置台(サセプタ)622A〜622Dを備えており,これに載置されたウエハWに例えば成膜処理(例えばプラズマCVD処理)やエッチング処理(例えばプラズマエッチング処理)などの所定の処理が施される。また,各処理室620A〜620Dには,内部に処理ガスやパージガスなど所定のガスを導入するためのガス導入系(図示せず)および内部を排気するための排気系(図示せず)が接続されている。なお,処理室620の数は,図23に示す例に限られない。
第1,第2ロードロック室630M,630Nは,ウエハWを一時的に保持して圧力を調整した後に,次段へパスする機能を有している。各第1,第2ロードロック室630M,630Nの内部にはそれぞれ,ウエハWを載置可能な受渡台632M,632Nが設けられている。
共通搬送室610内には,2つのアーム部を備えたいわゆるダブルアーム構造を採用した処理ユニット側搬送機構612が設けられている。そして,処理ユニット側搬送機構612の各アーム部は,例えば屈伸・昇降・旋回が可能な多関節構造を有しており,各アームの先端にはウエハWを保持するためのピック612A,612Bが備えられている。このような処理ユニット側搬送機構612は一度に2枚のウエハWを取り扱うことができ,各ロードロック室630M,630Nおよび各処理室620A〜620Dとの間でウエハWを搬送することができる。処理ユニット側搬送機構612のピック612A,612Bはそれぞれ,ウエハWを保持しているか否かを検出するためのセンサ(図示せず)を備えている。なお,処理ユニット側搬送機構612のアーム部の数は上記のものに限られず,例えば1つのアーム部を有するシングルアーム機構としてもよい。
制御部700は,所定の設定情報に基づいて所定のプログラムを実行して各部を制御する。これによって,例えば,第1〜第4処理室620A〜620Dにおけるプロセス処理,搬送室200と共通搬送室610におけるウエハ搬送処理,オリエンタ180における位置合わせ処理が行われる。また,制御部700は,後述の温度調整手段を制御する。
(第11実施形態にかかる温度調整手段の構成例)
続いて,第11実施形態にかかる温度調整手段の構成例について,図24を参照しながら詳しく説明する。第11実施形態にかかる温度調整手段は,ウエハWを第1,第2ロードロック室630M,630Nから搬送室200に搬送した(戻した)際に,搬送室200内においてウエハWにパーティクルを付着させないためのものである。
図24は,第11実施形態にかかる第1,第2ロードロック室630M,630Nの概略構成を示す縦断面図である。なお,本実施形態では,第1,第2ロードロック室630M,630Nは相互に略同一の構成を有している。したがって,図24には代表的に第1ロードロック室630Mのみを示す。
既に図23を参照しながら説明したように,第1ロードロック室630Mは,ウエハWを一時的に載置して待機させる受渡台632Mおよび光照射部650を備えている。また,第11実施形態においては,搬送室200に取り付けられている温度センサTS1によって搬送室200内の温度を測定し,その測定データを制御部700に送信するようにしてもよい。なお,第1ロードロック室630Mは,図24に示した構成要素の他にも例えば,第1ロードロック室630M内の圧力を調整する手段を備えている。この圧力調整手段などについては図示を省略する。
光照射部650は,制御部700から送信される制御信号に従って所定の波長を含む光652を所定のパワーで射出する。光照射部650は,受渡台632Mに載置されているウエハWの表面に光652が照射されるように,例えば第1ロードロック室630Mの筐体の天井面内側に取り付けられている。光照射部650は,例えばウエハWに吸収される近赤外線を光652として照射する光源を用いることが好ましい。このような光照射部650は,温度センサTS1,制御部700などとともに,受渡台632Mに載置されているウエハWの温度を,次室としての搬送室200内の温度に応じて調整する温度調整手段を構成する。
第11実施形態にかかる温度調整手段によれば,第1ロードロック室630M内の受渡台632Mに載置されているウエハWに光652を照射して,ウエハWの温度が搬送室200の温度よりも所定温度(例えば3℃)だけ高くなるようにウエハWを暖めることができる。これによって,ウエハWが次室である搬送室200内に搬入されたとき,搬送室200内において,そのウエハWの表面上へパーティクルが付着することを防止できる。
なお,第11実施形態にかかる温度調整手段は,第1ロードロック室630M内の受渡台632Mに載置されているウエハWに光652を照射してこれを暖める構成を有しているが,例えば受渡台632Mに発熱機構を組み込んで,受渡台632Mに載置されたウエハWを暖めるようにしてもよい。この構成によっても,第1ロードロック室630Mから次室である搬送室200に搬送されたウエハWへのパーティクルの付着を防止することができる。
また,ここでは第1ロードロック室630Mから搬送室200へウエハWを搬送する場合について本実施形態を説明したが,第2ロードロック室630Nから搬送室200へウエハWを搬送する場合についても同様に,ウエハWへのパーティクルの付着を防止することができる。
以上のように,第1〜第9実施形態によれば,カセット容器400(400A〜400C)に収容されている未処理のウエハWをロードロック室150(150A,150B),630(630M,630N)内まで搬送する過程で,次室にウエハWを搬入したときにその室内でウエハW上へのパーティクルの付着を防止することができる。これにより,清浄な状態のウエハWをロードロック室150,630に搬送することができる。
さらに,第10,第11実施形態によれば,処理済のウエハWをロードロック室150,630からカセット容器400まで搬送する過程でもウエハW上へのパーティクルの付着を防止することができる。
また,第1〜第11実施形態を組み合わせることによって,カセット容器400からロードロック室150,630まで各基板処理装置100,102内の全域にわたってウエハW上へのパーティクルの付着を防止することができる。なお,基板処理装置100,102の各室におけるパーティクル発生状況に応じて,第1〜第11実施形態を選択的に組み合わせるようにしてもよい。
また,カセット容器400に収容されている未処理のウエハWをロードロック室150,630まで搬送していく過程におけるウエハWへのパーティクルの付着を防止する場合について第1〜第9実施形態を説明したが,本発明は,このウエハWの搬送方向に限定されない。例えば,処理済のウエハWをロードロック室150,630からカセット容器400に戻す場合にも本発明の適用は可能である。この場合,パーティクルの付着を防止するウエハWが存在する室と次室との位置関係は,上記の第1〜第9実施形態の逆となる。
ところで,ウエハWの温度とウエハW表面へのパーティクル付着量との関係について,図8に示す実験結果によれば,ウエハWの温度と搬送室200内の温度との温度差を大きくするほど,ウエハW表面へのパーティクル付着量が減少することがわかった。図8ではパーティクルのサイズが0.06μm以上の場合の実験結果を示したが,0.06μmよりもさらに小さいサイズのパーティクルについても,ウエハW表面近傍の雰囲気の温度勾配により,ウエハW表面への付着量が減少できることが推察される。
実験装置の精度等により,0.06μmよりもさらに小さいサイズについてのパーティクル付着量を検出するのは困難ではあるものの,ウエハW表面近傍のパーティクルに働く力(例えばパーティクルの重力,静電気力,熱泳動力など)を考慮した計算式に基づいて計算することにより,ウエハWの温度とウエハW表面へのパーティクル付着量との関係を導くことができる。
ここで,例えばパーティクルのサイズが0.02μmの場合のパーティクル付着率を計算してグラフにした結果を図25に示す。図25は,パーティクルのサイズが0.02μmの場合についての実線グラフを図8のグラフに重ねて表わしたものである。
図25に示すように,パーティクルのサイズが0.02μmの場合についても,パーティクルのサイズが0.06μm以上の場合と同様に,ウエハWの温度と搬送室200内の温度との温度差を大きくするほど,ウエハW表面へのパーティクル付着量が減少することがわかる。図25に示す実線グラフによれば,その室温とウエハWとの温度差が0℃から+12℃程度までの範囲でパーティクル付着量が減少し,計算上では温度差が+12℃を超えるとさらにパーティクル付着量が減少している。従って,温度差が+12℃を超えるようにした方がパーティクル付着量もさらに減少させることができるとも考えられる。
ところが,実際には,搬送室200の室内温度とウエハWの温度との温度差が+12℃を超えると,ウエハW表面上に自然対流が発生し始め,温度差が大きくなるに連れて自然対流も大きくなることがわかってきた。この自然対流はウエハWの表面(被処理面)から上昇する方向に発生する。このため,例えば図2に示すように搬送室200内に形成されるダウンフロー234のように,ウエハWの被処理面上の自然対流と反対向きに対向する強制気流があると,ウエハWの被処理面上の所々に例えば図26に示すようにプルームのような乱流が発生する。このような乱流が発生すると,パーティクルがウエハWの被処理面上に付着し易くなるので,温度勾配による熱泳動力などの斥力によるパーティクル付着防止効果を十分に発揮できなくなる虞がある。
従って,ウエハWの温度とウエハW表面へのパーティクル付着量との関係についても,温度差が+12℃を超える範囲では,実際には図25に示す2点鎖線のように僅かではあるが徐々に悪化する傾向になると推察できる。なお,このような現象は,パーティクルのサイズが0.02μmの場合のみならず,パーティクルのサイズが0.06μmよりも小さい場合,さらには図8に示すパーティクルのサイズが0.06μm以上の場合についても発生しているものと考えられる。すなわち,図8に示す実験結果についても,温度差が+12℃を超える範囲では,僅かではあるがパーティクル付着率が悪化しているが,これはウエハWの被処理面上に発生した乱流にも起因していると推察できる。
このような乱流の影響は,パーティクルのサイズが小さいほど受け易いので,極微小のパーティクルほど極僅かではあるがウエハWの表面に付着し易くなるものと考えられる。従って,特にパーティクルのサイズが0.06μmよりも小さい極微小なパーティクルの付着防止効果を更に高めるためには,搬送室200の室内温度とウエハWの温度との温度差が+12℃を超えても,ウエハWの被処理面上に乱流が発生しないようにすればよいと考えられる。そこで,以下に,ウエハWの被処理面上の乱流発生を防止できるように構成した基板処理装置の実施形態について説明する。
(第12実施形態)
ここで,本発明の第12実施形態について図面を参照しながら説明する。ここでは,ウエハWを下向きにして搬送することにより,ウエハWの被処理面上の乱流発生を防止する具体例について説明する。図27(A),(B)は,第12実施形態にかかる搬送機構の概略構成を示す図である。第12実施形態では,図2に示す搬送機構160の代わりに,ウエハWの下向き搬送を可能とした搬送機構161を搬送室200内に設けたものである。
具体的には搬送機構161は例えば図27(A)に示すように構成される。すなわち,搬送機構161のピック166の基端側には,ピック166を水平軸回りに回転させるための回転機構(例えばモータ)165が設けられている。また,ピック166の表面にはウエハWを保持する図示しない保持機構が設けられ,ウエハWを下向きにしても落ちないように保持できるようになっている。このような保持機構としては例えば静電吸着力によりウエハWを保持する静電吸着機構によって構成される。
搬送機構161によれば,図27(A)に示すようにピック166の上側にウエハWの被処理面を上方に向けて保持した状態から,回転機構165によりピック166を水平軸回りに180度回転することによって,図27(B)に示すようにピック166の下側にウエハWの被処理面を下方に向けて保持した状態にすることができる。さらに,ウエハWの被処理面を下方に向けて保持したまま,例えば図27に示すように搬送室207内を例えば水平方向に移動できる。
このような構成の第12実施形態によれば,ウエハWが収容されているカセット容器400に暖気502を供給することによって暖められたウエハWを搬送機構161で取り出して搬送室200内でロードロック室150まで搬送する。このとき,本実施形態にかかる搬送機構161によれば,図28に示すようにウエハWの被処理面を下方に向けて保持した状態で搬送室200内を例えば水平方向に移動させることができる。
具体的には例えば搬送機構161のピック166によってカセット容器400から基板搬出入口212を介してウエハWを搬出し,回転機構165によりピック166を180度回転してウエハWの被処理面が下向きになるように保持し,その状態で搬送室200内をロードロック室150の手前まで移動する。そして,回転機構165によりピック166を180度回転してウエハWの被処理面が上向きになるようにしてロードロック室150内に搬入する。
なお,ウエハWの搬送する方法はこれに限られるものではない。例えばウエハWを下向きに保持したままロードロック室150内にピック166を挿入し,ロードロック室150内でピック166を180度回転してウエハWの被処理面が上向きになるようにして搬入するようにしてもよい。
このように,搬送室200内でウエハWを下向きにして搬送することにより,たとえ搬送室200の室内温度とウエハWの温度との温度差が+12℃を超えて自然対流が発生したとしてもウエハWの被処理面内で上昇気流が生じることはなく,しかもウエハWの被処理面内の自然対流とダウンフロー234とが反対向きに対向しない状態で搬送できる。これにより,ウエハWの被処理面内に乱流が発生することを防止できる。
従って,第12実施形態のように搬送室200内でウエハWを下向きにして搬送することで,ウエハWの温度を搬送室200内との温度差が+12℃よりも高い温度になるように調整しても,ウエハWの被処理面内に乱流が発生することを防止できる。このため,例えば温度差が12℃〜20℃になるようにウエハWの温度を調整することもできるようになる。
これにより,例えば図25の実線グラフのように,パーティクルのサイズが0.06μmよりも小さい極微小なパーティクルの付着防止効果を更に高めることができる。また,ウエハWの被処理面上に乱流が発生しなければ,パーティクルのサイズが0.06μm以上の場合でも,温度差が+12℃よりも高い範囲において図25の実線グラフと同様にパーティクル付着率はさらに下がるものと推察できる。これにより,パーティクルのサイズに拘わらず,パーティクル付着防止効果をより一層高めることができる。従って,特にパーティクルのサイズが0.06μmよりも小さい極微小なパーティクルがウエハWに付着することをより高い精度で防止したい場合には,ウエハWの温度をより高く調整して搬送室200内でウエハWを下に向けて搬送することも効果的である。
なお,第12実施形態では搬送機構161を搬送室200に適用した場合について説明したが,これに限られるものではなく,上述した他の搬送室202〜206のいずれに適用してもよい。この場合,例えば搬送機構161を図17に示す搬送室204に適用する際には,搬送機構161のピック166にヒートエレメント280を埋め込んで,ウエハWを暖めることができるように構成してもよい。また,搬送機構161を図21に示す搬送室206に適用する際には,光照射部520を搬送機構161よりも下方に設け,搬送機構161でウエハWを下向きに保持した状態で光照射部520により加熱するようにしてもよい。
(第13実施形態)
次に,本発明の第13実施形態について図面を参照しながら説明する。ここでは,搬送中のウエハWの被処理面に沿った気体の流れを形成することにより,ウエハWの被処理面上の乱流発生を防止する具体例について説明する。図29は,第13実施形態にかかる搬送室207の概略構成を示す図であって,搬送機構160が水平に移動可能な領域の部分断面図である。
図29に示す搬送室207は,搬送機構160に保持されるウエハWの被処理面に沿った気体の流れを強制的に形成する流れ形成手段が設けられている。この流れ形成手段は,例えば図29に示すように,搬送室207内を一方の側壁から他方の側面に向かうサイドフロー235を形成するサイドフロー形成手段として構成される。
以下,サイドフロー形成手段の具体的構成例について説明する。筐体210の互いに対向する一方の側壁には複数の給気口215が形成されており,他方の側壁には複数の排気口217が形成されている。複数の給気口215の内側には,これらの給気口215から外気を搬送室207内に導入するための給気ファン231が設けられており,給気ファン231のさらに内側には給気ファン231によって導入された外気をフィルタリングする給気フィルタ233が設けられている。なお,サイドフロー形成手段を設ける筐体210の側壁としては,搬送室207の断面略矩形状の短辺を構成する側壁であってもよく,また搬送室207の断面略矩形状の長辺を構成する側壁であってもよい。
このように,図29に示す搬送室207は,図2に示す搬送室200のダウンフロー形成手段を構成する給気口214,排気口216,給気ファン230,給気フィルタ232などの代わりに,サイドフロー形成手段を設けたものである。従って,その他の部分は図2に示す搬送室200と同様の構成であるため,その詳細な説明を省略する。
このような構成の第13実施形態によれば,ウエハWが収容されているカセット容器400に暖気502を供給することによって暖められたウエハWを搬送機構160で取り出して搬送室207内でロードロック室150まで搬送する。このとき,本実施形態にかかるサイドフロー形成手段によれば,搬送機構160がウエハWを保持して水平方向に移動している間は常にそのウエハWの被処理面に沿ったサイドフロー235が形成される。
このため,たとえ搬送室207の室内温度とウエハWの温度との温度差が+12℃を超えて自然対流が発生してウエハWの被処理面内で上昇気流が生じたとしても,その上昇気流とサイドフロー235とが反対向きに対向しない状態で搬送できる。これにより,ウエハWの被処理面内に乱流が発生することを防止できる。
従って,第13実施形態のように少なくとも搬送機構160が水平に移動可能な領域にウエハWの被処理面に沿った空気の流れ,すなわちサイドフロー235を形成することで,ウエハWの温度を搬送室207内との温度差が+12℃よりも高い温度になるように調整しても,ウエハWの被処理面内に乱流が発生することを防止できる。このため,例えば温度差が12℃〜20℃になるようにウエハWの温度を調整することもできるようになる。
これにより,例えば図25の実線グラフのように,パーティクルのサイズが0.06μmよりも小さい極微小なパーティクルの付着防止効果を更に高めることができるとともに,パーティクルのサイズが0.06μm以上の場合でも,パーティクルの付着防止効果を更に高めることができる。これにより,パーティクルのサイズに拘わらず,パーティクル付着防止効果をより一層高めることができる。従って,特にパーティクルのサイズが0.06μmよりも小さい極微小なパーティクルがウエハWに付着することをより高い精度で防止したい場合には,搬送室207内にサイドフローを形成することも効果的である。
なお,図29に示す構成例では,サイドフロー形成手段を搬送機構160が水平に移動可能な領域,すなわち搬送室207における側壁の高さ方向の一部に設けた場合を例に挙げて説明したが,これに限定されるものではなく,サイドフロー形成手段を搬送室207における側壁の高さ方向の全体にわたって設けるようにしてもよい。また,上記サイドフロー形成手段とダウンフロー形成手段とを両方設けるようにしてもよい。この場合には,通常はダウンフロー形成手段によりダウンフローを形成し,搬送機構160でウエハWを保持して水平に移動する場合にのみ,サイドフロー形成手段によりサイドフローを形成するようにしてもよい。また,第13実施形態におけるサイドフロー形成手段は,他の第2〜第12実施形態と組合せるようにしてもよい。この場合においても,上記サイドフロー形成手段はダウンフロー形成手段の代わりに設けるようにしてもよく,またダウンフロー形成手段とともに設けるようにしてもよい。
以上,添付図面を参照しながら本発明の好適な実施形態について説明したが,本発明は係る例に限定されないことは言うまでもない。当業者であれば,特許請求の範囲に記載された範疇内において,各種の変更例または修正例に想到し得ることは明らかであり,それらについても当然に本発明の技術的範囲に属するものと了解される。
例えば,本発明を図1,図23に示すような構成の基板処理装置100,102に適用した場合についてその第1〜第13実施形態を説明したが,本発明はこれに限られるものではない。上記第1〜第13実施形態にかかる基板処理装置100,102の他にも様々な種類の基板処理装置にも本発明を適用することができる。例えば,縦型熱処理装置,塗布現像装置などの基板処理装置に本発明を適用してもよい。
本発明は,基板処理装置およびパーティクル付着防止方法に適用可能である。
本発明の第1実施形態にかかる基板処理装置の構成例を示す断面図である。 本発明の第1実施形態にかかる暖気供給部が接続されたカセット台と,このカセット台が接続された搬送室の概略構成を示す縦断面図である。 図2の暖気供給部とその近傍の拡大図である。 室温のウエハと27℃のウエハについて,表面上に付着したパーティクルの量を比較した実験結果を示す図である。 図4の実験において各ウエハの表面上に付着したパーティクルをサイズごとに分類し,サイズごとの数を表にまとめた図である。 室温のウエハと35℃のウエハについて,表面上に付着したパーティクルの量を比較した実験結果を示す図である。 図6の実験において各ウエハの表面上に付着したパーティクルをサイズごとに分類し,サイズごとの数を表にまとめた図である。 室温を基準としたウエハの温度とウエハ表面に付着したパーティクルの量との関係を示す図である。 雰囲気圧力とウエハ表面に付着したパーティクルの量との関係を示す図である。 ウエハ表面近傍の雰囲気に現れる温度勾配の特性曲線を概念的に示す図であって,同図(A)はウエハが真空雰囲気にある場合であり,同図(B)はウエハが大気圧雰囲気にある場合である。 本発明の第2実施形態にかかる温度調整手段を備えた搬送室の概略構成を示す縦断面図である。 同実施形態にかかる搬送室の基板搬出入口近傍の拡大図である。 本発明の第3実施形態にかかる温度調整手段を備えた搬送室の概略構成を示す縦断面図である。 同実施形態にかかる搬送室の基板搬出入口近傍の拡大図である。 本発明の第4実施形態にかかる温度調整手段を備えた搬送室の概略構成を示す縦断面図である。 同実施形態にかかる光照射部とカセット容器の拡大図である。 本発明の第5実施形態にかかるピックの内部構造を説明するための図である。 本発明の第6実施形態にかかる温度調整手段を備えた搬送室の概略構成を示す縦断面図である。 本発明の第7実施形態にかかるオリエンタの概略構成を示す縦断面図である。 本発明の第8実施形態にかかるオリエンタの概略構成を示す縦断面図である。 本発明の第9実施形態にかかる温度調整手段を備えた搬送室の概略構成を示す縦断面図である。 本発明の第10実施形態にかかる温度調整手段を備えたロードロック室の概略構成を示す縦断面図である。 本発明の第11実施形態にかかる基板処理装置の構成例を示す断面図である。 本発明の第11実施形態にかかる温度調整手段を備えたロードロック室の概略構成を示す縦断面図である。 室温を基準としたウエハの温度とウエハ表面に付着したパーティクルの量との関係を示す図であって,パーティクルのサイズが0.02μmの場合についてのグラフを図8のグラフに重ねて表わしたものである。 室内温度とウエハの温度との温度差が大きい場合に,ウエハの被処理面上に発生する乱流の模式図である。 本発明の第12実施形態における搬送機構の構成例を示す図であって,同図(A)はウエハを上向きに保持している場合を示し,同図(B)はウエハを下向きに保持している場合を示す。 ウエハを下向きに保持したまま搬送している状態を示す図である。 本発明の第13実施形態における搬送室の構成例を示す図である。
符号の説明
100,102 基板処理装置
110(110A,110B) 真空処理ユニット
120 搬送ユニット
132(132A〜132C) カセット台
134 暖気供給口
136 暖気排出口
138 カセット台
140(140A,140B) 処理室
142(142A,142B) 載置台
144(144A,144B) ゲートバルブ
150(150A,150B) ロードロック室
152(152A,152B) ゲートバルブ
154(154A,154B) バッファ用載置台
156(156A,156B) バッファ用載置台
160,161 搬送機構
162 基台
164(164A,164B) 多関節アーム
165 回転機構
166(166A,166B) ピック
168 案内レール
170(170A,170B) 個別搬送機構
172(172A,172B) ピック
180,188 オリエンタ
182 回転載置台
184 光学センサ
186 支柱
190 ヒートエレメント
192 電力供給ライン
194 電源
196 光照射部
198 光
200〜207 搬送室
210 筐体
210A 側壁
212 基板搬出入口
214,215 給気口
216,217 排気口
220(220A〜220C) 開閉ドア
222 アクチュエータ
224 伸縮ロッド
226 蓋開閉機構
228 ゲートバルブ
230,231 給気ファン
232,233 給気フィルタ
234,236 ダウンフロー
235 サイドフロー
240,244 隔壁
242,246 上部気体流路
248 暖気導入管
252,256 下部気体流路
260,262 内側基板搬出入口
270 光照射部
272 ブラケット
274 光
280 ヒートエレメント
282 電力供給ライン
284 電源
290 ダウンフロー冷却手段
292 室内熱交換部
294 室外熱交換部
296 冷媒管
300 制御部
400(400A〜400C) カセット容器
402 開閉蓋
404 通気口
500,510 暖気供給部
502,512 暖気
514 暖気導入管
516 暖気導出管
520 光照射部
522 光
530 光照射部
532 光
600 真空処理ユニット
610 共通搬送室
612 処理ユニット側搬送機構
612A,612B ピック
620(620A〜620D) 第1〜第4処理室
622A〜622D 載置台(サセプタ,下部電極)
624 シャワーヘッド(上部電極)
626 ガス噴射孔
628 処理ガス源
630M 第1ロードロック室
630N 第2ロードロック室
632M 受渡台
632N 受渡台
640A〜640D ゲートバルブ
640M,640N ゲートバルブ
642M,642N ゲートバルブ
650 光照射部
652 光
660 光照射部
662 光
670 高周波電源
672 整合器
674 高周波電源
676 整合器
700 制御部
TS1,TS2 温度センサ
W ウエハ

Claims (21)

  1. 被処理基板を収容する基板収容容器がセットされる搬出入口を介して前記基板収納容器との間で前記被処理基板の受け渡しを行う搬送室と,
    前記被処理基板に対して所定の処理を施す処理室と,
    前記処理室と前記搬送室とを接続するロードロック室と,
    前記搬送室と前記ロードロック室のうちの少なくとも一室に前記被処理基板を搬入する際に,搬入する直前の前記被処理基板の温度が搬入しようとする室の室内温度よりも高くなるように,前記被処理基板の温度を調整する温度調整手段と,を備え,
    前記温度調整手段は,前記搬入しようとする室の室内温度よりも高い温度に調整された暖気を前記基板収容容器内に供給して,前記被処理基板の温度を調整する暖気供給部を含み,
    前記搬送室は,その内側に前記搬出入口内に前記暖気を案内し,少なくとも暖気案内時には前記搬入しようとする室の雰囲気とは隔離される気体流路を備え,前記暖気供給部は,前記気体流路を介して前記暖気を前記搬出入口から前記基板収容容器内に供給するように構成したことを特徴とする基板処理装置。
  2. 前記温度調整手段はさらに,前記搬送室に設けられ,前記搬送室から前記ロードロック室に搬送する直前の前記被処理基板の温度が前記ロードロック室の室内温度よりも高くなるように,前記搬送室内の前記被処理基板に所定の波長を含む光を照射して温度を調整する光照射部を含むことを特徴とする請求項に記載の基板処理装置。
  3. 前記温度調整手段はさらに,前記ロードロック室に設けられ,前記ロードロック室から前記搬送室に搬送する直前の前記被処理基板の温度が前記搬送室の室内温度よりも高くなるように,前記ロードロック室内の前記被処理基板に所定の波長を含む光を照射して温度を調整する光照射部を含むことを特徴とする請求項に記載の基板処理装置。
  4. 前記光は,近赤外線光であることを特徴とする請求項2又は3に記載の基板処理装置。
  5. 前記温度調整手段は,搬入する直前の前記被処理基板の温度が搬入しようとする室の室内温度よりも2〜12℃高くなるように,前記被処理基板の温度を調整することを特徴とする請求項1〜4のいずれかに記載の基板処理装置。
  6. 前記被処理基板を搬入しようとする室の内部の圧力は200Torr以上であることを特徴とする請求項1〜5のいずれかに記載の基板処理装置。
  7. 前記被処理基板を搬入しようとする室の内部の圧力は300Torr以上であることを特徴とする請求項1〜5のいずれかに記載の基板処理装置。
  8. 被処理基板を収容する基板収容容器を搬出入口にセットし,この基板収容容器内の被処理基板を搬出入口を介して搬送室内に搬入して,前記被処理基板に対して所定の処理を施す基板処理装置であって,
    前記基板収容容器から前記搬送室に搬入される直前の前記被処理基板の温度が前記搬送室内の温度よりも高くなるように,前記被処理基板の温度を調整する温度調整手段を備え,
    前記温度調整手段は,前記搬送室内の温度よりも高い温度に調整された暖気を前記基板収容容器内に供給して,前記被処理基板の温度を調整する暖気供給部を含み,
    前記搬送室は,その内側に前記搬出入口内に前記暖気を案内し,少なくとも暖気案内時には前記搬送室内の雰囲気とは隔離される気体流路を備え,前記暖気供給部は,前記気体流路を介して前記暖気を前記搬出入口から前記基板収容容器内に供給するように構成したことを特徴とする基板処理装置。
  9. 前記搬送室は,前記基板収容容器をセットする前記搬出入口を複数備え,前記搬出入口ごとに前記気体流路を備えたことを特徴とする請求項に記載の基板処理装置。
  10. 前記搬送室は,前記被処理基板を保持する基板保持部を有する搬送機構を備え,
    前記温度調整手段は,前記基板収容容器から被処理基板を取り出すために前記基板収容容器内に前記基板保持部を挿入して前記被処理基板を保持したときに,この被処理基板を加温する加温部を含むことを特徴とする請求項8又は9に記載の基板処理装置。
  11. 前記搬送室に接続され,前記被処理基板を一時的に収容する収容室を備え,
    前記温度調整手段は,前記収容室に設けられ,その内部に収容された前記被処理基板の温度が前記搬送室内の温度よりも高くなるように,前記被処理基板に所定の波長を含む光を照射して温度を調整する光照射部を含むことを特徴とする請求項8〜10のいずれかに記載の基板処理装置。
  12. 前記収容室は,前記被処理基板の位置合わせを行う位置合わせ室であり,
    前記光照射部は,前記位置合わせ室内に設けられた載置台に載置された前記被処理基板の温度を調整することを特徴とする請求項11に記載の基板処理装置。
  13. 前記搬送室は,前記被処理基板を収容する基板収容容器がセットされる搬出入口を介して前記基板収納容器との間で前記被処理基板の受け渡しを行い,前記搬送室内を移動して前記被処理基板を上向き保持と下向き保持とを切り換えて搬送可能な搬送機構を備え
    前記搬送機構は,前記搬送室内で前記被処理基板を搬送する際は,前記被処理基板をその被処理面が下向きになるように保持しながら前記搬送室内を移動することを特徴とする請求項8〜12のいずれかに記載の基板処理装置。
  14. 前記搬送室は,前記被処理基板を収容する基板収容容器がセットされる搬出入口を介して前記基板収納容器との間で前記被処理基板の受け渡しを行い,前記搬送室内を移動して前記被処理基板を搬送する搬送機構を備え
    前記搬送室は,少なくとも前記搬送機構が前記搬送室内で移動する領域に,前記搬送機構によって搬送される前記被処理基板の被処理面に沿った気体の流れを強制的に形成する流れ形成手段を設けたことを特徴とする請求項8〜13のいずれかに記載の基板処理装置。
  15. 前記温度調整手段は,搬入する直前の前記被処理基板の温度が搬入しようとする室の室内温度よりも2〜12℃高くなるように,前記被処理基板の温度を調整することを特徴とする請求項8〜14のいずれかに記載の基板処理装置。
  16. 前記被処理基板を搬入しようとする室の内部の圧力は200Torr以上であることを特徴とする請求項8〜15のいずれかに記載の基板処理装置。
  17. 前記被処理基板を搬入しようとする室の内部の圧力は300Torr以上であることを特徴とする請求項8〜15のいずれかに記載の基板処理装置。
  18. 被処理基板を収容する基板収容容器がセットされる搬出入口を介して前記基板収納容器との間で前記被処理基板の受け渡しを行う搬送室と,前記被処理基板に対して所定の処理を施す処理室と,前記処理室と前記搬送室とを接続するロードロック室と,を備える基板処理装置における前記被処理基板の表面上へのパーティクルの付着を防止する方法であって,
    前記搬送室と前記ロードロック室のうちの少なくとも一室に前記被処理基板を搬入する際に,搬入する直前の前記被処理基板の温度が搬入しようとする室の室内温度よりも高くなるように,前記被処理基板の温度を調整する温度調整手段と,を備え,
    前記温度調整手段は,前記搬入しようとする室の室内温度よりも高い温度に調整された暖気を前記基板収容容器内に供給して,前記被処理基板の温度を調整する暖気供給部を含み,
    前記暖気供給部は,前記搬送室の内側に設けられ前記搬出入口内に前記暖気を案内する気体流路を,少なくとも暖気案内時には前記搬入しようとする室の雰囲気とは隔離しておき,前記気体流路を介して前記暖気を前記搬出入口から前記基板収容容器内に供給することによって,搬入直前の前記基板の温度を調整することを特徴とするパーティクル付着防止方法。
  19. 被処理基板を収容する基板収容容器を搬出入口にセットし,この基板収容容器内の被処理基板を搬出入口を介して搬送室内に搬入して,前記被処理基板に対して所定の処理を施す基板処理装置における前記被処理基板の表面上へのパーティクルの付着を防止する方法であって,
    前記搬送室と前記ロードロック室のうちの少なくとも一室に前記被処理基板を搬入する際に,搬入する直前の前記被処理基板の温度が搬入しようとする室の室内温度よりも高くなるように,前記被処理基板の温度を調整する温度調整手段と,を備え,
    前記温度調整手段は,前記搬入室の室内温度よりも高い温度に調整された暖気を前記基板収容容器内に供給して,前記被処理基板の温度を調整する暖気供給部を含み,
    前記暖気供給部は,前記搬送室の内側に設けられ前記搬出入口内に前記暖気を案内する気体流路を,少なくとも暖気案内時には前記搬送室内の雰囲気とは隔離しておき,前記気体流路を介して前記暖気を前記搬出入口から前記基板収容容器内に供給することによって,搬入直前の前記基板の温度を調整することを特徴とするパーティクル付着防止方法。
  20. 前記搬送室は,前記被処理基板を収容する基板収容容器がセットされる搬出入口を介して前記基板収納容器との間で前記被処理基板の受け渡しを行い,前記搬送室内を移動して前記被処理基板を上向き保持と下向き保持とを切り換えて搬送可能な搬送機構を備え,
    前記搬送機構によって前記搬送室内で前記被処理基板を搬送する際は,前記被処理基板をその被処理面が下向きになるように保持しながら前記搬送室内を移動することを特徴とする請求項18又は19に記載のパーティクル付着防止方法。
  21. 前記搬送室は,前記被処理基板を収容する基板収容容器がセットされる搬出入口を介して前記基板収納容器との間で前記被処理基板の受け渡しを行い,前記搬送室内を移動して前記被処理基板を搬送する搬送機構を備え,
    前記搬送機構によって前記搬送室内で前記被処理基板を搬送する際は,前記搬送機構によって搬送される前記被処理基板の被処理面に沿った気体の流れを強制的に形成することを特徴とする請求項18〜20のいずれかに記載のパーティクル付着防止方法。
JP2008017628A 2007-01-31 2008-01-29 基板処理装置およびパーティクル付着防止方法 Active JP4896899B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008017628A JP4896899B2 (ja) 2007-01-31 2008-01-29 基板処理装置およびパーティクル付着防止方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007022449 2007-01-31
JP2007022449 2007-01-31
JP2008017628A JP4896899B2 (ja) 2007-01-31 2008-01-29 基板処理装置およびパーティクル付着防止方法

Publications (2)

Publication Number Publication Date
JP2008211196A JP2008211196A (ja) 2008-09-11
JP4896899B2 true JP4896899B2 (ja) 2012-03-14

Family

ID=39674092

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008017628A Active JP4896899B2 (ja) 2007-01-31 2008-01-29 基板処理装置およびパーティクル付着防止方法

Country Status (5)

Country Link
US (2) US8950999B2 (ja)
JP (1) JP4896899B2 (ja)
KR (2) KR101177170B1 (ja)
TW (1) TWI423371B (ja)
WO (1) WO2008093787A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101846696B1 (ko) 2015-08-19 2018-04-06 시바우라 메카트로닉스 가부시끼가이샤 기판 처리 장치 및 기판 처리 방법

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4464993B2 (ja) * 2007-06-29 2010-05-19 東京エレクトロン株式会社 基板の処理システム
KR101015957B1 (ko) 2008-10-31 2011-02-23 세메스 주식회사 기판 처리 장치
JP2010194685A (ja) * 2009-02-26 2010-09-09 Tokyo Electron Ltd 作業台
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP4940323B2 (ja) * 2009-07-29 2012-05-30 有限会社タクショー 除塵装置及び除塵システム
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5460197B2 (ja) * 2009-09-10 2014-04-02 キヤノン株式会社 デバイス製造装置およびデバイス製造方法
JP5903429B2 (ja) * 2010-04-30 2016-04-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 縦型インラインcvdシステム
JP5654807B2 (ja) * 2010-09-07 2015-01-14 東京エレクトロン株式会社 基板搬送方法及び記憶媒体
CN102050330B (zh) * 2010-11-05 2013-02-06 深圳市华星光电技术有限公司 机械手臂及具有该机械手臂的搬运装置
JP5952526B2 (ja) * 2011-02-04 2016-07-13 株式会社ダイヘン ワーク搬送システム
US8834155B2 (en) * 2011-03-29 2014-09-16 Institute of Microelectronics, Chinese Academy of Sciences Wafer transfer apparatus and wafer transfer method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5364769B2 (ja) * 2011-09-26 2013-12-11 株式会社安川電機 搬送ロボットおよび基板処理装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20140084286A (ko) * 2011-11-28 2014-07-04 가부시키가이샤 야스카와덴키 기판 처리 장치 및 로봇 컨트롤러
JP5603314B2 (ja) * 2011-12-01 2014-10-08 東京エレクトロン株式会社 搬送装置及び基板処理システム
WO2014035480A1 (en) * 2012-08-30 2014-03-06 General Electric Company Induction furnace with uniform cooling capability
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5718379B2 (ja) * 2013-01-15 2015-05-13 東京エレクトロン株式会社 基板収納処理装置及び基板収納処理方法並びに基板収納処理用記憶媒体
JP2014139980A (ja) * 2013-01-21 2014-07-31 Hitachi High-Technologies Corp 試料処理装置およびその方法並びに荷電粒子線装置
CN108630585B (zh) * 2013-01-22 2022-06-21 博鲁可斯自动化美国有限责任公司 衬底运送器
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6211886B2 (ja) * 2013-10-15 2017-10-11 東京エレクトロン株式会社 加熱処理方法及び加熱処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6164142B2 (ja) * 2014-03-31 2017-07-19 東芝ライテック株式会社 液晶パネルの製造装置及び液晶パネルの製造方法
KR20150141470A (ko) * 2014-06-10 2015-12-18 삼성전자주식회사 기판 처리 장치 및 기판 처리 장치 클리닝 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6262634B2 (ja) * 2014-10-31 2018-01-17 東京エレクトロン株式会社 基板処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102402012B1 (ko) * 2015-06-19 2022-05-25 삼성전자주식회사 먼지 감지장치 및 이를 갖춘 공기조화기
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6582676B2 (ja) * 2015-07-24 2019-10-02 東京エレクトロン株式会社 ロードロック装置、及び基板処理システム
US9564350B1 (en) * 2015-09-18 2017-02-07 Globalfoundries Inc. Method and apparatus for storing and transporting semiconductor wafers in a vacuum pod
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6677485B2 (ja) * 2015-11-05 2020-04-08 芝浦メカトロニクス株式会社 真空処理装置
JP6679907B2 (ja) * 2015-12-11 2020-04-15 Tdk株式会社 ロードポート装置及びロードポート装置における容器内への清浄化ガス導入方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6907518B2 (ja) 2016-01-15 2021-07-21 東京エレクトロン株式会社 真空処理装置及び真空処理装置の運転方法。
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
CN107424895B (zh) * 2016-05-24 2021-04-09 北京北方华创微电子装备有限公司 一种半导体设备前端处理装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10840114B1 (en) * 2016-07-26 2020-11-17 Raytheon Company Rapid thermal anneal apparatus and method
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
EP3499555B1 (en) * 2016-08-08 2022-09-28 Shin-Etsu Handotai Co., Ltd. Load port and wafer transfer method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6815912B2 (ja) 2017-03-23 2021-01-20 株式会社荏原製作所 洗浄装置及び基板処理装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7129793B2 (ja) * 2018-03-06 2022-09-02 シャープ株式会社 接合装置
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US20190362989A1 (en) * 2018-05-25 2019-11-28 Applied Materials, Inc. Substrate manufacturing apparatus and methods with factory interface chamber heating
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP6876020B2 (ja) 2018-07-27 2021-05-26 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法並びにプログラム
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220285193A1 (en) * 2021-03-04 2022-09-08 Applied Materials, Inc. Shortened load port for factory interface
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
JP2022178406A (ja) * 2021-05-20 2022-12-02 東京エレクトロン株式会社 温度制御方法及び温度制御装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230047784A (ko) 2021-10-01 2023-04-10 김예진 화장품 스푼 보관 가능 용기

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63144537A (ja) * 1986-12-09 1988-06-16 Hitachi Electronics Eng Co Ltd ウエハ搬送装置
JP2817242B2 (ja) * 1989-08-18 1998-10-30 富士通株式会社 基板搬送ボックス
JPH0394445A (ja) * 1989-09-06 1991-04-19 Mitsubishi Electric Corp 半導体ウエハ搬送システム
JP3098809B2 (ja) * 1991-07-25 2000-10-16 東京応化工業株式会社 ウェハ処理方法
JPH0982781A (ja) * 1995-09-18 1997-03-28 Kokusai Electric Co Ltd 半導体製造装置
PL326445A1 (en) * 1995-11-01 1998-09-28 British Gas Plc Mutant of cytochrome p450cam mono-oxygenase
JP3283798B2 (ja) * 1996-08-29 2002-05-20 東京エレクトロン株式会社 処理装置
KR100246963B1 (ko) 1996-11-22 2000-03-15 윤종용 반도체 제조장치의 웨이퍼 홀더용 스테이지
KR100256440B1 (ko) * 1997-03-07 2000-05-15 윤종용 반도체 제조공정 중 광학적 분석용 액적 가공방법, 그리고 그를 위한 설비 및 그의 제어 방법
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
JP3513437B2 (ja) * 1999-09-01 2004-03-31 キヤノン株式会社 基板管理方法及び半導体露光装置
US8348583B2 (en) * 1999-10-19 2013-01-08 Rorze Corporation Container and loader for substrate
DE10032465A1 (de) * 2000-07-04 2002-01-31 Steag Rtp Systems Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von Objekten
JP2002237507A (ja) * 2000-12-08 2002-08-23 Tokyo Electron Ltd 処理システム及び処理システムの被処理体の搬送方法
KR100742026B1 (ko) 2000-12-08 2007-07-23 동경 엘렉트론 주식회사 반도체 처리 시스템 및 피처리체 반송 방법
JP2003007799A (ja) * 2001-06-21 2003-01-10 Tokyo Electron Ltd 処理システム
DE10164175B4 (de) * 2001-12-27 2004-02-12 Infineon Technologies Ag Prozeßgerät mit zwei Raumeinheiten und einer die zwei Raumeinheiten verbindenden dritten Raumeinheit mit jeweils einer gegenüber der Umgebung verminderten Dichte kontaminierter Teilchen und Verfahren zum Betrieb des Prozeßgerätes
US7162881B2 (en) 2004-04-07 2007-01-16 Nikon Corporation Thermophoretic wand to protect front and back surfaces of an object
FR2869451B1 (fr) 2004-04-21 2006-07-21 Alcatel Sa Enveloppe de transport a protection par effet thermophorese
JP4623715B2 (ja) * 2004-05-13 2011-02-02 東京エレクトロン株式会社 基板搬送機構及び該基板搬送機構を備える基板搬送装置
US7748138B2 (en) 2004-05-13 2010-07-06 Tokyo Electron Limited Particle removal method for a substrate transfer mechanism and apparatus
JP2006147638A (ja) * 2004-11-16 2006-06-08 Canon Inc 露光装置、及びデバイスの製造方法
JP2006216710A (ja) * 2005-02-02 2006-08-17 Hitachi High-Technologies Corp 半導体製造装置
US8353986B2 (en) * 2005-03-31 2013-01-15 Tokyo Electron Limited Substrate processing apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101846696B1 (ko) 2015-08-19 2018-04-06 시바우라 메카트로닉스 가부시끼가이샤 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
TWI423371B (zh) 2014-01-11
JP2008211196A (ja) 2008-09-11
US20100111648A1 (en) 2010-05-06
US8950999B2 (en) 2015-02-10
KR20090107521A (ko) 2009-10-13
KR101176764B1 (ko) 2012-08-23
TW200845277A (en) 2008-11-16
WO2008093787A1 (ja) 2008-08-07
US20150114562A1 (en) 2015-04-30
KR20120042867A (ko) 2012-05-03
KR101177170B1 (ko) 2012-08-24

Similar Documents

Publication Publication Date Title
JP4896899B2 (ja) 基板処理装置およびパーティクル付着防止方法
JP7372362B2 (ja) 半導体システムにおける湿度制御
JP4784599B2 (ja) 真空処理装置及び真空処理方法並びに記憶媒体
JP2022118041A (ja) 半導体クリーニングシステム及び半導体清浄方法
JP4359640B2 (ja) 基板搬送装置及びダウンフロー制御方法
KR101664939B1 (ko) 로드록 장치
KR101985370B1 (ko) 기판 처리 장치
KR20170054427A (ko) 로드 포트 및 로드 포트의 분위기 치환 방법
TW200832592A (en) Substrate processing apparatus and manufacturing method for a semiconductor device
CN111490185A (zh) 电子装置的热加工
US20190096702A1 (en) Substrate processing apparatus, substrate processing method, and computer storage medium
JP2007095879A (ja) 基板処理装置
JP2005183638A (ja) 基板熱処理装置
KR101898340B1 (ko) 로드록 장치에 있어서의 기판 냉각 방법, 기판 반송 방법, 및 로드록 장치
US11694907B2 (en) Substrate processing apparatus, recording medium, and fluid circulation mechanism
KR20230065897A (ko) 기판의 반송을 실시하는 장치, 및 기판을 반송하는 방법
JP6951129B2 (ja) 基板処理装置、プログラム及び流体循環機構並びに半導体装置の製造方法
US20080203083A1 (en) Single wafer anneal processor
JP2008227264A (ja) 基板処理装置
JP2012253198A (ja) 開閉ダンパー装置
JP2007258255A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101112

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110916

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111122

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111213

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111221

R150 Certificate of patent or registration of utility model

Ref document number: 4896899

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150106

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250