JP2000040728A - ウェハ搬送機構 - Google Patents

ウェハ搬送機構

Info

Publication number
JP2000040728A
JP2000040728A JP10206082A JP20608298A JP2000040728A JP 2000040728 A JP2000040728 A JP 2000040728A JP 10206082 A JP10206082 A JP 10206082A JP 20608298 A JP20608298 A JP 20608298A JP 2000040728 A JP2000040728 A JP 2000040728A
Authority
JP
Japan
Prior art keywords
arm
arm member
wafer
processed
predetermined position
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10206082A
Other languages
English (en)
Inventor
Takayuki Yamagishi
孝幸 山岸
Masae Suwada
雅栄 諏訪田
Kazuaki Furukawara
一哲 古川原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NIPPON ASM KK
Original Assignee
NIPPON ASM KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NIPPON ASM KK filed Critical NIPPON ASM KK
Priority to JP10206082A priority Critical patent/JP2000040728A/ja
Priority to TW088111793A priority patent/TW419773B/zh
Priority to US09/354,690 priority patent/US6305898B1/en
Priority to KR1019990029425A priority patent/KR20000011844A/ko
Priority to EP99202406A priority patent/EP0975009A1/en
Publication of JP2000040728A publication Critical patent/JP2000040728A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Abstract

(57)【要約】 【課題】付加的な工程を取ることなくウェハをアームの
所定の位置に配置できる搬送機構を提供する。 【解決手段】被処理体を収納部に搬入または搬出する搬
送機構が,被処理体のエッジ部と接触可能な突起部を先
端に有し,被処理体を保持するアーム部材と,被処理体
を収納部に対して搬入または搬出するために,被処理体
を保持した状態で,該アーム部材を引戻し位置と伸長位
置との間で往復移動させる移動機構と,アーム部材の近
傍に位置し,保持された被処理体をアーム部材上の所定
の位置に配置するための,被処理体のエッジ部と接触可
能な配置付け部材と,から構成される。移動機構によ
り,アーム部材が引戻し位置へ移動するとき,配置付け
部材は,アーム部材上に保持された被処理体のエッジ部
と接触し,被処理体の移動を阻止し,アーム部材上の所
定の位置に配置する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は,半導体ウェハのよ
うな被処理体を,被処理体を収納する収納部へ搬入また
は搬送する搬送装置および搬送方法に関し,特に,被処
理体を収納部の所定の位置に搬送できる搬送装置および
搬送方法に関する。
【0002】
【従来の技術】半導体製造において,半導体ウェハを収
納するカセットから処理チェンバーへ一枚の半導体ウェ
ハを搬送すること,または一つの処理チェンバーから他
の処理チェンバーへ一枚のウェハを搬送することが行わ
れている。その搬送に利用される典型的な従来の搬送機
構の斜視図を図1に示す。
【0003】この搬送機構1は,前方に半導体ウェハWを
保持できるアーム2を有する。そのアーム2のウェハを保
持する部分は,ウェハが収まる凹所が設けられている
(図3を参照)。そのアーム2の後端には,一端同士が
枢着された二つの補助アーム3および4の一方の補助アー
ム3に枢着されている。他方の補助アーム4の他端は,補
助アーム4を回動させる回転機構5に連結されている。図
には示されていない,アーム2をその軸線方向にのみ往
復移動可能にするガイドが設けられている。したがっ
て,回転機構5により補助アーム4が回転すると,アーム
2は補助アーム3を介して,ウェハを供給する位置と,ウ
ェハを保持して引き戻る(またはウェハが例えば,処理
チェンバーにおいて処理されている間引き込んでいる)
位置との間を往復移動することができる。
【0004】図2には,アームがウェハを保持して引き
込み位置にある搬送機構の斜視図を示す。この状態で,
搬送機構全体を回転させることにより,または移動させ
ることにより(以下,このような回転または移動を単に
「移動」という),一つの処理チェンバーから他方の処
理チェンバーにウェハを移送することができる。
【0005】この従来の搬送機構を用いて,ウェハを処
理チェンバーからアンロードする動作およびそこへロー
ドする動作を図3および図4に示めす。図3(a)に示
されているように,ウェハWは処理チェンバー(図示せ
ず)内のウェハステージ21の中心にウェハWの中心が整
合するように複数のピン22で支持されている。処理後,
ウェハWをアンロードするために,図1のようにアーム4
が回転し,これにしたがってアーム2が伸長する。アー
ム2のウェハ保持の中心(図では白三角で示す)がウェ
ハWの中心(図では黒三角で示す)に整合する位置(伸
長位置)まで,アーム2は伸長する。次に,図3(b)に
示されいるように,ピン22が下降し,ウェハWはアーム2
の凹所に位置する。そして,図3(c)に示されている
ように,アーム4の回転によりアーム2はウェハWを保持
したまま,引戻り位置まで戻る。
【0006】ウェハWに対して次の処理を行うために,
他の処理チェンバの位置へウェハWを移動さるために,
図3(d)に示されているように,ウエハの保持の中心
とウェハWの中心とを一致させたまま,図2に示されて
いるように搬送機構全体を移動(回転)させる。
【0007】そして,他の処理チャンバーの位置まで来
たとき,図4(a)に示されているように,再度アーム4
が回転し,アーム2が他の処理チャンバーの処理位置
(ウェハステージの中心とウェハの中心が一致する位
置)へと伸長する。ここで,ピンが上昇してウェハはウ
ェハステージに移り(図4(b)),アーム4が回転し
て,アーム2は引戻り位置に戻る。上述した処理位置と
引戻り位置は,他のウェハについてアンロード,ロード
動作が再現されるように,搬送機構の制御部に記憶され
る。
【0008】このような図示の搬送機構の外に,真空吸
着によりウェハをアームに保持する方式の機構もある。
この方式は,アームのウェハ保持部と真空源とを連通
し,ウェハがアーム上に位置したとき,真空力によりウ
ェハを保持するものである。真空力による保持は,アー
ムにウェハ保持のための凹部を設ける必要性をなくして
アームの小型化を可能にし,また高速でのウェハの移
動,回転を可能にする。
【0009】
【発明が解決しようとする課題】しかし,アームの凹所
にウェハを配置する従来の搬送機構(図1)でのアンロ
ードにおいて,ウェハステージ上のウェハが,ピンの下
降によりウェハの凹所に移されるが,このとき,例えば
プラズマを用いてウェハに所定のプロセスを行う処理チ
ェンバーにおいては,プラズマ発生に伴う電荷の影響等
で,ウェハの位置がずれる場合がある。
【0010】アーム2に形成されている凹所は,アー
ム,アーム同士の連結,回転機構等の機械的な誤差を考
慮して,そこに収納するウェハより若干大きくしている
ものの(通常は,直径方向で2mm以下程度大きくしてい
る),それ以上にウェハの位置がずれると,ウェハは凹
所に収納されず,凹所の段差部分に乗り上げた状態とな
り,搬送エラーとなる。位置のずれを予め予測し,凹所
の大きさをさらに大きくすることで,このようなことを
回避できるが,この場合,凹所内でのウェハの位置が定
まらず,位置の精度の信頼性,再現性が悪くなる。
【0011】また,アームの往復移動,引戻り位置での
アームの回転移動の際に,ウェハがウェハより大きい凹
所内で動き,位置のずれを引き起こしかねない。これを
防止するためには,アームの移動(回転)の速度を低速
にしなければならず,ウェハの搬送速度の高速化,搬送
時間の短縮化がはかれない。真空吸引式のアームにおい
ては,このような問題はなく,搬送速度を高速化するこ
ともできる一方,真空吸着の際にウェハの裏面とアーム
との強い接触のために,パーティクルが発生しやすくな
る。また,この方式はそもそも大気中でなければ使用で
きない。
【0012】本発明は上記課題を解決するためになされ
たもので,その目的は,付加的な工程を取ることなく被
処理体を,被処理体を保持するアーム部材の所定の位置
に配置できる搬送機構および搬送方法を提供することで
ある。
【0013】本発明の他の目的は,被処理体のアーム部
材への移送が簡便で,かつウェハをアームの所定の位置
に配置できる搬送機構および搬送方法を提供することで
ある。
【0014】さらに,本発明の他の目的は,ウェハを収
納する一方の収納部から他方の収納部への搬送を高速化
することができる搬送機構および搬送方法を提供するこ
とである。
【0015】さらに,本発明の他の目的は,常圧下でも
真空下でも被処理体の搬送を行える搬送機構および搬送
方法を提供することである。
【0016】さらに,本発明の他の目的は,既存の装置
に適用できる搬送機構および搬送方法を提供することで
ある。
【0017】
【課題を解決するための手段】上記目的を達成する本発
明の,被処理体を収納部に搬入または搬出する搬送機構
は,(a)被処理体のエッジ部と接触可能な突起部を先
端に有し,被処理体を保持するアーム部材と,(b)被
処理体を収納部に対して搬入または搬出するために,被
処理体を保持した状態で,該アーム部材を引戻し位置と
伸長位置との間で往復移動させる移動機構と,(c)ア
ーム部材の近傍に位置し,保持された被処理体をアーム
部材上の所定の位置に配置するための,被処理体のエッ
ジ部と接触可能な配置付け部材と,から構成される。
【0018】配置付け部材は,移動機構によりアーム部
材が引戻し位置へ移動するとき,アーム部材上に保持さ
れた被処理体のエッジ部と接触し,被処理体の移動のみ
を阻止し,アーム部材上の所定の位置に配置する。
【0019】一方の収納部から他方の収納部に被処理体
を搬送するときは,移動機構は,アーム部材を引戻し位
置に維持した状態で,各収納部に向けて移動させる移動
手段を有する。このとき,配置付け部材は移動手段に取
り付けられる。
【0020】本発明の搬送方法は,一方の収納部から他
方の収納部に被処理体を搬送する方法であって,(a)
先端に被処理体のエッジ部と接触可能な突起部を有し,
被処理体を保持するアーム部材を一方の収納部内に,そ
の中に収納された被処理体のエッジ部と突起部との間に
クリアランスができる伸長位置まで挿入し,被処理体を
アーム部材に移す工程と,(b)アーム部材の近傍に位
置する配置付け部材に,移された被処理体のエッジ部が
接するまで,アーム部材を引き戻す工程と,(c)さら
に,配置付け部材がアーム部材上の被処理体と接し,そ
の移動を妨げ,アーム部材の所定の位置に配置する引戻
し位置までアーム部材を引き戻す工程と,(d)引戻し
位置にあるアーム部材を維持して,他方の収納部に向け
て移動させる工程と,(e)他方の収納部内に,所定の
位置に配置された被処理体を保持した状態で,アーム部
材を挿入する工程と,を有する。
【0021】
【発明の実施の形態】図5は,本発明の搬送装置51の斜
視図を示す。図1に示した従来の搬送装置1との違い
は,アーム52に凹所が設けられず,先端にのみ,半導体
ウェハWの外周のエッジ部にそった形状をもつ突起部5
2’を有する点と,アームが引込み位置あるとき,アー
ムの近傍に配置付け部材60を有する点である。
【0022】図1において説明したように,本発明の搬
送装置51においても,アーム52が伸長位置と引戻し位置
とを往復移動できるように二つの補助アーム53,54を介
して回転機構55に取り付けられている。さらに,図に示
されていないがアーム52をその軸線方向にのみ往復移動
を可能にするガイドが設けられる。したがって,回転機
構55によりアーム54が回転すると,アーム52は,アーム
53を介して往復移動ができる。
【0023】本発明の特徴である,アーム52は,図のよ
うに,ウェハWを保持する部分は柄の部分より広くな
り,先端二カ所に突起部52’を有する。この突起部52’
はウェハWのエッジ部と接することから,ウェハを傷つ
けないように,接する部分に弾性部材,たとえばバネ部
材を有することが望ましい。
【0024】配置付け部材60はアーム52の近傍で,回転
機構55に取り付けられている。この配置付け部材60は,
アーム52の上方に位置し,両端が膨出した部分を有する
水平部分61とその水平部分を回転機構55に取り付ける垂
直部分62からなり,水平部分61の内側は,台形の凹所が
形成され,さらにウェハのエッジ部と弾力的に接する弾
性片63,たとえばバネ体が取り付けられている。弾性片
は,ウェハWに対して弾力的接するために好適なもので
あるが,必須の要素ではなく,水平部分の台形凹所とウ
ェハのエッジ部とが接するようにしてもよい。
【0025】図示の例において,水平部分61には台形の
凹所が形成され,,ウェハのエッジ部と二カ所と接する
ようにしているが,一カ所と接するような形状でもよ
く,また三カ所以上と接するような形状でもよい。接触
する箇所が多いほど,後述するように,ウェハWをアー
ム52上の所定の位置に配置し易くなるが,異なる直径の
ウェハに対応しにくくなる。
【0026】配置付け部材60が取り付けられた搬送機構
51において,回転機構55によりアーム54が回転し,アー
ム53を介してアーム52が引き戻る方向に移動すると,ア
ーム52の上に保持されてウェハWはアーム52と一緒に引
き戻され,図6に示されているように,配置付け部材60
の弾性部材63,63と接する。さらに,アーム52が引き戻
されるとき,配置付け部材60は,ウェハWがアームと一
緒に移動することを阻止しする。したがって,ウェハW
は,アーム52上で,アームの突起部52’,52’へと押や
られる。その突起部52’,52’と配置付け部分の弾性部
材63,63とにより挟まれる。このとき,ウェハWがアー
ム52上に配置される位置を所定の位置とすると,アーム
52が引き戻される動作の時に,必ずウェハWは,アーム5
2上の所定の位置に配置されることになる。
【0027】ウェハWはアーム52上の所定の位置で突起
部52’と配置付け部分60とのより固定されていることか
ら,例えば図6に示されているように,搬送機構51が回
転移動しても,また図示されていないが搬送機構51全体
が並進移動しても,ウェハWは所定の位置からずれるこ
とがない。
【0028】上記本発明の搬送機構51を使用して,処理
チェンバーからウェハをアンロードし,それを他の処置
チェンバーにロードする動作を,図7および図8を参照
して説明する
【0029】処理チェンバー内のウェハステージ21上の
処理位置にある処理されたウェハをアンロードするため
に,図7(a)に示されているように,処理位置にある
ウェハのエッジ部とアーム52の突起部52’との間に形成
される間隔,すなわちクリアランスが所定だけ生じる位
置(伸長位置)まで,アーム52をウェハWの下方で,ピ
ン55の間を通るように,処理チェンバー内に伸長させ
る。このクリアランスは,たとえばプラズマ発生による
電荷の影響でウェハの位置がずれても,突起部52’に乗
り上げない程度,通常は2mm程度になるようにする。
【0030】次に,図7(b)に示されているように,
ピン22が下降し,ウェハWはアーム上に移される。この
とき,ウェハの中心(図では黒三角により示されてい
る)と,ウェハがアーム上の所定の位置にあるときにウ
エハの中心位置(図では白三角により示されている)と
は,一般的に一致していない。そして,回転機構55によ
りアーム54が回転すると,アーム52は引き戻され,ウエ
ハWを処理チェンバーより排出する(図7(c))。
【0031】アーム52がウェハWを保持したまま,引き
戻されると,配置付け部材60の弾性片63に接する。弾性
片63はアーム52上のウェハWの移動のみを阻止する。さ
らに,アーム52が引き戻されると,弾性片63はウェハW
をアーム52上で突起部52’へと押しやることになる。そ
して,ウェハWのエッジ部が突起部52’と接したとき,
アーム54の回転が停止し,アーム52の引き戻しが終了す
る(図7(d))。かくして,ウェハWはアーム52上の所
定の位置に,すなわち,ウェハWの中心と,アーム52の
所定の位置における中心とが一致する位置に配置される
ことになる。
【0032】ウェハを他の処理チェンバーへと向けると
きは,図6に示されているように,搬送機構5全体を回
転移動させる。ウェハは配置付け部材60と突起部52’と
に挟まれているから,この回転移動により所定の位置か
らずれることはなく,したがって,高速でウェハを他の
処理チェンバーに向けることができる。このとき,配置
付け部材60はアーム52と一緒に回転できるように,回転
機構55に取り付けられる必要がある。なお,この例は搬
送機構51全体を回転移動することで,他の処理チェンバ
ーへ向けたが,搬送機構51全体を並進移動させる移動手
段により,他の処理チェンバーにウェハをロードできる
位置へ移動させることてもよいことはいうまでもないこ
とである。
【0033】ウェハWが他の処理チェンバーに向けられ
たとき,図8(a)に示されているように,アーム52は
処理チェンバー内に伸長する。アーム52の伸長は,アー
ム上のウェハWの中心(図において,白三角印)がウェ
ハステージ21’の中心(図において,黒三角印)と一致
するまで行われる。
【0034】前述したように,アーム上のウェハは配置
付け部材と突起部52’により挟まれ,所定の位置に配
置,維持さていることから,アーム52は所望だけ伸長す
るだけで,アーム上のウェハWの中心とウェハステージ2
1’の中心と一致させることができる。そして,ピン2
2’が上昇し,アーム52が引き戻されると(図8(b),
図8(c)),ウェハWはウェハステージ21’の所望の位
置,すなわち,処理位置に配置されることになる。
【0035】このようにウェハがアームの突起部と配置
付け部材とにより挟まれることから,アームが伸長する
だけで,正確に処理チェンバー内に配置できる。この配
置に厳密な正確性が要求されない搬送においては,アー
ムの引き戻しの際に,ウェハのエッジ部と突起部との間
に間隙があったもよい。しかし,ウェハの処理において
は,この間隙は広くても2mm,好適には1.5mm以下とす
ることが望ましい。
【0036】上記説明した例は,一つの処理チェンバー
から,他の処理チェンバーへウェハを搬送するものであ
るが,これに限らず,ウェハを収納するカセットから処
理チェンバーへまたはその逆に搬送する場合に本発明の
搬送機構は適用できる。また搬送する物もウェハに限定
されないことは理解されよう。
【0037】なお,半導体ウェハにおける搬送において
は,パーティクルの発生は重要な問題であるから,搬送
速度,アームの形状,ウェハと接触する突起部,配置付
け部材の形状,弾力性はパーティクルの発生しないよう
適宜決定されるものである。
【0038】
【発明の効果】本発明により,被処理体を搬送するアー
ム部材は単に先端に突起部を有するのみで,被処理体を
納める凹所は不要となり,そのため,収納された被処理
体をアーム部材に移す際に, 収納部内に収納された被
処理体の位置にアーム部材を正確に位置付けることが不
要とり,搬送制御が簡便となる。そして,被処理体は,
搬送工程のうちの引き戻り動作において,アーム部材の
所定の位置に配置され,したがって,単にアーム部材を
伸長させるだけで被処理体を所望の位置に搬送できる。
【0039】また,被処理体は,アーム部材の突起部と
配置付け部材とにより挟まれて,アーム部材上に保持さ
れることから,アーム部材上の所定の位置からずれるこ
とがなく,そのため,被処理体をアーム部材上の所定の
位置に保持したまま,他の収納部へ高速で移動させるこ
とができる。これにより搬送の高速化をはかることがで
きる。
【0040】さらに,本発明は,被処理体を吸引力を用
いずに保持することから,常圧下での搬送でも,減圧下
での搬送においても適用できる。
【0041】さらにまた,本発明は,配置付け部材は必
要なものの,アーム部材を利用する搬送機構を実質的な
改変をなすことなく,これを利用して実施できる。
【図面の簡単な説明】
【図1】従来の搬送機構の斜視図を示す。
【図2】全体が回転移動する状態を示す図1の従来の搬
送機構の斜視図を示す。
【図3】図1の搬送機構を使用して,ウェハを処理チェ
ンバーからアンロードする各動作を示す。
【図4】図1の搬送機構を使用して,ウェハを処理チェ
ンバーへロードする各動作を示す。
【図5】本発明の搬送機構の斜視図を示す。
【図6】全体が回転移動する状態を示す図5の搬送機構
の斜視図を示す。
【図7】図5の搬送機構を使用して,ウェハを処理チェ
ンバーからアンロードする各動作を示す。
【図8】図5の搬送機構を使用して,ウェハを処理チェ
ンバーへロードする各動作を示す。
【符号の説明】
51 本発明の搬送機構 52 アーム 52’ 突起部 53 アーム 54 アーム 55 回転機構 60 配置付け部材 61 水平部分 62 垂直部分 63 弾性片
─────────────────────────────────────────────────────
【手続補正書】
【提出日】平成10年9月17日(1998.9.1
7)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】請求項6
【補正方法】変更
【補正内容】
【手続補正2】
【補正対象書類名】明細書
【補正対象項目名】請求項8
【補正方法】変更
【補正内容】
【手続補正3】
【補正対象書類名】明細書
【補正対象項目名】請求項10
【補正方法】変更
【補正内容】
【手続補正4】
【補正対象書類名】明細書
【補正対象項目名】請求項12
【補正方法】変更
【補正内容】
【手続補正5】
【補正対象書類名】明細書
【補正対象項目名】0001
【補正方法】変更
【補正内容】
【0001】
【発明の属する技術分野】本発明は,半導体ウェハのよ
うな被処理体を,被処理体を収納する収納部へ搬入また
は搬する搬送装置および搬送方法に関し,特に,被処
理体を収納部の所定の位置に搬送できる搬送装置および
搬送方法に関する。
【手続補正6】
【補正対象書類名】明細書
【補正対象項目名】0005
【補正方法】変更
【補正内容】
【0005】この従来の搬送機構を用いて,ウェハを処
理チェンバーからアンロードする動作およびそこへロー
ドする動作を図3および図4に示。図3(a)に示さ
れているように,ウェハWは処理チェンバー(図示せ
ず)内のウェハステージ21の中心にウェハWの中心が整
合するように複数のピン22で支持されている。処理後,
ウェハWをアンロードするために,図1のようにアーム4
が回転し,これにしたがってアーム2が伸長する。アー
ム2のウェハ保持の中心(図では白三角で示す)がウェ
ハWの中心(図では黒三角で示す)に整合する位置(伸
長位置)まで,アーム2は伸長する。次に,図3(b)に
示されいるように,ピン22が下降し,ウェハWはアー
ム2の凹所に位置する。そして,図3(c)に示されてい
るように,アーム4の回転によりアーム2はウェハWを保
持したまま,引戻位置まで戻る。
【手続補正7】
【補正対象書類名】明細書
【補正対象項目名】0006
【補正方法】変更
【補正内容】
【0006】ウェハWに対して次の処理を行うために,
他の処理チェンバーの位置へウェハWを移動さるため
に,図3(d)に示されているように,ウエハの保持の
中心とウェハWの中心とを一致させたまま,図2に示さ
れているように搬送機構全体を移動(回転)させる。
【手続補正8】
【補正対象書類名】明細書
【補正対象項目名】0007
【補正方法】変更
【補正内容】
【0007】そして,他の処理チンバーの位置まで来
たとき,図4(a)に示されているように,再度アーム4
が回転し,アーム2が他の処理チンバーの処理位置
(ウェハステージの中心とウェハの中心が一致する位
置)へと伸長する。ここで,ピンが上昇してウェハはウ
ェハステージに移り(図4(b)),アーム4が回転し
て,アーム2は引戻位置に戻る。上述した処理位置と
引戻位置は,他のウェハについてアンロード,ロード
動作が再現されるように,搬送機構の制御部に記憶され
る。
【手続補正9】
【補正対象書類名】明細書
【補正対象項目名】0011
【補正方法】変更
【補正内容】
【0011】また,アームの往復移動,引戻位置での
アームの回転移動の際に,ウェハがウェハより大きい凹
所内で動き,位置のずれを引き起こしかねない。これを
防止するためには,アームの移動(回転)の速度を低速
にしなければならず,ウェハの搬送速度の高速化,搬送
時間の短縮化がはかれない。真空吸引式のアームにおい
ては,このような問題はなく,搬送速度を高速化するこ
ともできる一方,真空吸着の際にウェハの裏面とアーム
との強い接触のために,パーティクルが発生しやすくな
る。また,この方式はそもそも大気中でなければ使用で
きない。
【手続補正10】
【補正対象書類名】明細書
【補正対象項目名】0021
【補正方法】変更
【補正内容】
【0021】
【発明の実施の形態】図5は,本発明の搬送装置51の斜
視図を示す。図1に示した従来の搬送装置1との違い
は,アーム52に凹所が設けられず,先端にのみ,半導体
ウェハWの外周のエッジ部にそった形状をもつ突起部5
2’を有する点と,アームが引戻し位置あるとき,
アームの近傍に配置付け部材60を有する点である。
【手続補正11】
【補正対象書類名】明細書
【補正対象項目名】0024
【補正方法】変更
【補正内容】
【0024】配置付け部材60はアーム52の近傍で,回転
機構55に取り付けられている。この配置付け部材60は,
アーム52の上方に位置し,両端が膨出した部分を有する
水平部分61とその水平部分を回転機構55に取り付ける垂
直部分62からなり,水平部分61の内側は,台形の凹所が
形成され,さらにウェハのエッジ部と弾力的に接する弾
性片63,たとえばバネ体が取り付けられている。弾性片
は,ウェハWに対して弾力的接するために好適なもの
であるが,必須の要素ではなく,水平部分の台形凹所と
ウェハのエッジ部とが接するようにしてもよい。
【手続補正12】
【補正対象書類名】明細書
【補正対象項目名】0025
【補正方法】変更
【補正内容】
【0025】図示の例において,水平部分61には台形の
凹所が形成されウェハのエッジ部二カ所接するよ
うにしているが,一カ所接するような形状でもよく,
また三カ所以上接するような形状でもよい。接触する
箇所が多いほど,後述するように,ウェハWをアーム52
上の所定の位置に配置し易くなるが,異なる直径のウェ
ハに対応しにくくなる。
【手続補正13】
【補正対象書類名】明細書
【補正対象項目名】0026
【補正方法】変更
【補正内容】
【0026】配置付け部材60が取り付けられた搬送機構
51において,回転機構55によりアーム54が回転し,アー
ム53を介してアーム52が引き戻る方向に移動すると,ア
ーム52の上に保持されてウェハWはアーム52と一緒に引
き戻され,図6に示されているように,配置付け部材60
の弾性部材63,63と接する。さらに,アーム52が引き戻
されるとき,配置付け部材60は,ウェハWがアームと一
緒に移動することを阻止る。したがって,ウェハW
は,アーム52上で,アームの突起部52’,52’へと押
やられる。その突起部52’,52’と配置付け部分の弾性
部材63,63とにより挟まれる。このとき,ウェハWがア
ーム52上に配置される位置を所定の位置とすると,アー
ム52が引き戻される動作の時に,必ずウェハWは,アー
ム52上の所定の位置に配置されることになる。
【手続補正14】
【補正対象書類名】明細書
【補正対象項目名】0027
【補正方法】変更
【補正内容】
【0027】ウェハWはアーム52上の所定の位置で突起
部52’と配置付け部分60とより固定されていることか
ら,例えば図6に示されているように,搬送機構51が回
転移動しても,また図示されていないが搬送機構51全体
が並進移動しても,ウェハWは所定の位置からずれるこ
とがない。
【手続補正15】
【補正対象書類名】明細書
【補正対象項目名】0028
【補正方法】変更
【補正内容】
【0028】上記本発明の搬送機構51を使用して,処理
チェンバーからウェハをアンロードし,それを他の処理
チェンバーにロードする動作を,図7および図8を参照
して説明する
【手続補正16】
【補正対象書類名】明細書
【補正対象項目名】0030
【補正方法】変更
【補正内容】
【0030】次に,図7(b)に示されているように,
ピン22が下降し,ウェハWはアーム上に移される。この
とき,ウェハの中心(図では黒三角により示されてい
る)と,ウェハがアーム上の所定の位置にあるとき
エハの中心位置(図では白三角により示されている)と
は,一般的に一致していない。そして,回転機構55によ
りアーム54が回転すると,アーム52は引き戻され,ウエ
ハWを処理チェンバーより排出する(図7(c))。
【手続補正17】
【補正対象書類名】明細書
【補正対象項目名】0032
【補正方法】変更
【補正内容】
【0032】ウェハを他の処理チェンバーへと向けると
きは,図6に示されているように,搬送機構5全体を回
転移動させる。ウェハは配置付け部材60と突起部52’と
に挟まれているから,この回転移動により所定の位置か
らずれることはなく,したがって,高速でウェハを他の
処理チェンバーに向けることができる。このとき,配置
付け部材60はアーム52と一緒に回転できるように,回転
機構55に取り付けられる必要がある。なお,この例は搬
送機構51全体を回転移動することで,他の処理チェンバ
ーへ向けたが,搬送機構51全体を並進移動させる移動手
段により,他の処理チェンバーにウェハをロードできる
位置へ移動させもよいことはいうまでもないことであ
る。
【手続補正18】
【補正対象書類名】明細書
【補正対象項目名】0034
【補正方法】変更
【補正内容】
【0034】前述したように,アーム上のウェハは配置
付け部材と突起部52’により挟まれ,所定の位置に配
置,維持さていることから,アーム52は所望伸長する
だけで,アーム上のウェハWの中心とウェハステージ2
1’の中心と一致させることができる。そして,ピン2
2’が上昇し,アーム52が引き戻されると(図8(b),
図8(c)),ウェハWはウェハステージ21’の所望の位
置,すなわち,処理位置に配置されることになる。
【手続補正19】
【補正対象書類名】明細書
【補正対象項目名】0038
【補正方法】変更
【補正内容】
【0038】
【発明の効果】本発明により,被処理体を搬送するアー
ム部材は単に先端に突起部を有するのみで,被処理体を
納める凹所は不要となり,そのため,収納された被処理
体をアーム部材に移す際に,収納部内に収納された被処
理体の位置にアーム部材を正確に位置付けることが不要
り,搬送制御が簡便となるそして,被処理体は,
搬送工程のうちの引き戻動作において,アーム部材の
所定の位置に配置され,したがって,単にアーム部材を
伸長させるだけで被処理体を所望の位置に搬送できる。
【手続補正20】
【補正対象書類名】明細書
【補正対象項目名】0040
【補正方法】変更
【補正内容】
【0040】さらに,本発明は,被処理体を吸引力を
用いずに保持することから,常圧下での搬送でも,減圧
下での搬送においても適用できる。
【手続補正21】
【補正対象書類名】明細書
【補正対象項目名】0041
【補正方法】変更
【補正内容】
【0041】さらにまた,本発明は,配置付け部材は必
要なものの,アーム部材を利用する搬送機構を実質的
改変することなく,これを利用して実施できる。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 古川原 一哲 東京都多摩市永山6丁目23番地1 日本エ ー・エス・エム株式会社内 Fターム(参考) 3F061 AA01 BA03 BB09 BD08 BE06 BE24 BF00 DB04 DB06 5F031 CC04 CC12

Claims (12)

    【特許請求の範囲】
  1. 【請求項1】被処理体を収納部に搬入または搬出する搬
    送機構であって,(a)被処理体のエッジ部と接触可能
    な突起部を先端に有し,前記被処理体を保持するアーム
    部材と,(b)前記被処理体を前記収納部に対して搬入
    または搬出するために,前記被処理体を保持した状態
    で,該アーム部材を引戻し位置と伸長位置との間で往復
    移動させる移動機構と,(c)前記アーム部材の近傍に
    位置し,保持された前記被処理体を前記アーム部材上の
    所定の位置に配置するための,被処理体のエッジ部と接
    触可能な配置付け部材と,から構成され,前記移動機構
    により,前記アーム部材が前記引戻し位置へ移動すると
    き,前記配置付け部材は,前記アーム部材上に保持され
    た前記被処理体のエッジ部と接触し,前記被処理体の移
    動を阻止し,前記アーム部材上の所定の位置に配置す
    る,ことを特徴とする搬送機構。
  2. 【請求項2】前記配置付け部材および前記突起部の少な
    くとも一方の,前記被処理体のエッジ部と接触する部分
    が弾性部材から成る,ことを特徴とする請求項1に記載
    の搬送機構。
  3. 【請求項3】前記アーム部材の前記引戻し位置への移動
    により,前記被処理体が前記所定の位置に位置したと
    き,前記被処理体は,前記突起部と前記配置付け部材と
    に接して挟まれる,ことを特徴とする請求項1に記載の
    搬送機構。
  4. 【請求項4】前記アーム部材の前記引戻し位置への移動
    により,前記被処理体が前記所定の位置に位置したと
    き,前記被処理体のエッジ部と前記突起部との間に間隙
    がある,ことを特徴とする請求項1に記載の搬送機構。
  5. 【請求項5】前記間隙が2mm以下の間隔である,こと
    を特徴とする請求項4に記載の搬送機構。
  6. 【請求項6】前記収納部は処置チェンバーであり,前記
    移動機構は,前記アーム部材の前記引戻し位置への移動
    により前記所定に配置された前記被処理体を,前記伸長
    位置に前記アーム部材を移動させることで,前記処置チ
    ェンバー内の処置位置に搬入する,ことを特徴とする請
    求項1に記載の搬送機構。
  7. 【請求項7】前記収納部は複数あり,前記移動機構は,
    前記アーム部材を前記引戻し位置に維持した状態で,前
    記各収納部に向けて移動させる移動手段を有し,前記配
    置付け部材は前記移動手段に取り付けられている,こと
    を特徴とする請求項3または4に記載の搬送装置。
  8. 【請求項8】一方の収納部から他方の収納部に,被処理
    体を搬送する方法であって,(a)先端に被処理体のエ
    ッジ部と接触可能な突起部を有し,前記被処理体を保持
    するアーム部材を前記一方の収納部内に,その中に収納
    された被処理体のエッジ部と前記突起部との間にクリア
    ランスができる伸長位置まで,挿入し,前記被処理体を
    前記アーム部材に移す工程と,(b)前記アーム部材の
    近傍に位置する配置付け部材に,前記移された被処理体
    のエッジ部が接するまで,前記アーム部材を引き戻す工
    程と,(c)さらに,前記配置付け部材が前記アーム部
    材上の前記被処理体と接し,その移動を妨げ,前記アー
    ム部材の所定の位置に配置する引戻し位置まで前記アー
    ム部材を引き戻す工程と,(d)前記引戻し位置にある
    前記アーム部材を維持して,前記他方の収納部に向けて
    移動させる工程と,(e)前記他方の収納部内に,前記
    所定の位置に配置された前記被処理体を保持した状態
    で,前記アーム部材を挿入する工程と,から成り搬送方
    法。
  9. 【請求項9】前記クリアランスが2mm以上である,こ
    とを特徴とする請求項8に記載の搬送方法。
  10. 【請求項10】前記被処理体は,それが前記アーム部材
    上の前記所定の位置に配置されるときに,前記突起部材
    と前記配置付け部材により挟まれる,ことを特徴とする
    請求項8に搬送方法。
  11. 【請求項11】前記アーム部材上の前記所定の位置に,
    前記被処理体を配置するときに,前記被処理体のエッジ
    部と前記突起部材との間に隙間があり,該隙間は広くて
    も1.5mmである,ことを特徴とする請求項8に搬送方
    法。
  12. 【請求項12】前記他の収納部が処理チェンバーであ
    り,その処理チェンバーの処置位置まで,前記アーム部
    材を挿入させる,ことを特徴とする請求項8に記載の搬
    送方法。
JP10206082A 1998-07-22 1998-07-22 ウェハ搬送機構 Pending JP2000040728A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP10206082A JP2000040728A (ja) 1998-07-22 1998-07-22 ウェハ搬送機構
TW088111793A TW419773B (en) 1998-07-22 1999-07-12 Wafer transportation mechanism
US09/354,690 US6305898B1 (en) 1998-07-22 1999-07-16 Wafer transfer mechanism
KR1019990029425A KR20000011844A (ko) 1998-07-22 1999-07-21 웨이퍼반송기구
EP99202406A EP0975009A1 (en) 1998-07-22 1999-07-21 Wafer transfer mechanism

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10206082A JP2000040728A (ja) 1998-07-22 1998-07-22 ウェハ搬送機構

Publications (1)

Publication Number Publication Date
JP2000040728A true JP2000040728A (ja) 2000-02-08

Family

ID=16517533

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10206082A Pending JP2000040728A (ja) 1998-07-22 1998-07-22 ウェハ搬送機構

Country Status (5)

Country Link
US (1) US6305898B1 (ja)
EP (1) EP0975009A1 (ja)
JP (1) JP2000040728A (ja)
KR (1) KR20000011844A (ja)
TW (1) TW419773B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001349848A (ja) * 2000-06-12 2001-12-21 Sony Corp 検査装置及び検査方法
JP2003095435A (ja) * 2001-09-27 2003-04-03 Ebara Corp 四辺形基板搬送ロボット
JP2003188235A (ja) * 2001-10-12 2003-07-04 Ckd Corp アライナ装置
JP2005502479A (ja) * 2001-02-26 2005-01-27 アイアールエム,エルエルシー 把持機構、装置及び方法
JP2007067303A (ja) * 2005-09-01 2007-03-15 Tokyo Electron Ltd 基板搬送装置、基板搬送方法及び塗布、現像装置
KR100945239B1 (ko) 2008-05-15 2010-03-03 주식회사 테스 웨이퍼 이송로봇 및 웨이퍼 이송방법
JP2010069559A (ja) * 2008-09-17 2010-04-02 Ulvac Japan Ltd 搬送装置及び真空装置
KR20170100553A (ko) * 2014-12-24 2017-09-04 퀘리타우, 인크. 반자동 프로버

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3510177B2 (ja) 2000-03-23 2004-03-22 株式会社東京精密 ウェハ研磨装置
GB2384309B8 (en) * 2000-10-13 2016-03-02 Irm Llc High throughput processing system and method of using
GB2370411B (en) * 2000-12-20 2003-08-13 Hanmi Co Ltd Handler system for cutting a semiconductor package device
US6638004B2 (en) * 2001-07-13 2003-10-28 Tru-Si Technologies, Inc. Article holders and article positioning methods
US6935830B2 (en) * 2001-07-13 2005-08-30 Tru-Si Technologies, Inc. Alignment of semiconductor wafers and other articles
JP2003037146A (ja) * 2001-07-24 2003-02-07 Asm Japan Kk バッファ機構を有する半導体製造装置及び方法
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
JP4283559B2 (ja) * 2003-02-24 2009-06-24 東京エレクトロン株式会社 搬送装置及び真空処理装置並びに常圧搬送装置
US6823753B1 (en) * 2003-05-16 2004-11-30 Asm America, Inc. Sensor signal transmission from processing system
US7235806B2 (en) 2003-05-16 2007-06-26 Asm America, Inc. Wafer edge with light sensor
US7654596B2 (en) * 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
JP4262064B2 (ja) * 2003-11-28 2009-05-13 株式会社ダイヘン 搬送ロボット
KR100576150B1 (ko) * 2004-08-12 2006-05-03 세메스 주식회사 기판 이송 장치
JP4313284B2 (ja) * 2004-11-15 2009-08-12 大日本スクリーン製造株式会社 基板処理装置
US20060113806A1 (en) * 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
CN1824592B (zh) * 2005-02-25 2012-02-29 细美事有限公司 晶片传送装置
CN100362620C (zh) * 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
KR101489963B1 (ko) * 2007-12-13 2015-02-04 한국에이에스엠지니텍 주식회사 박막 증착 장치 및 이를 이용한 증착 방법
US8273178B2 (en) 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
KR100980706B1 (ko) * 2008-09-19 2010-09-08 세메스 주식회사 기판 이송 장치, 이를 갖는 기판 처리 장치 및 이의 기판 이송 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN101633441B (zh) * 2009-08-19 2012-05-23 友达光电股份有限公司 基板处理系统及其基板搬运装置
JP5589790B2 (ja) * 2010-03-31 2014-09-17 株式会社安川電機 基板搬送用ハンドおよび基板搬送ロボット
US9093485B2 (en) * 2010-05-26 2015-07-28 Ulvac, Inc. Transport method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN103700613B (zh) * 2013-12-18 2016-02-03 京东方科技集团股份有限公司 一种真空机械传送系统
US9370863B2 (en) * 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9536764B2 (en) 2015-01-27 2017-01-03 Lam Research Corporation End effector for wafer transfer system and method of transferring wafers
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5374147A (en) 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US5022695A (en) * 1989-01-30 1991-06-11 Texas Instruments Incorporated Semiconductor slice holder
US5162047A (en) 1989-08-28 1992-11-10 Tokyo Electron Sagami Limited Vertical heat treatment apparatus having wafer transfer mechanism and method for transferring wafers
JPH03273663A (ja) 1990-03-23 1991-12-04 Canon Inc 基板保持装置
JP2676334B2 (ja) * 1995-07-31 1997-11-12 住友重機械工業株式会社 ロボットアーム

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001349848A (ja) * 2000-06-12 2001-12-21 Sony Corp 検査装置及び検査方法
JP4560898B2 (ja) * 2000-06-12 2010-10-13 ソニー株式会社 検査装置及び検査方法
JP2005502479A (ja) * 2001-02-26 2005-01-27 アイアールエム,エルエルシー 把持機構、装置及び方法
JP2003095435A (ja) * 2001-09-27 2003-04-03 Ebara Corp 四辺形基板搬送ロボット
JP2003188235A (ja) * 2001-10-12 2003-07-04 Ckd Corp アライナ装置
JP2007067303A (ja) * 2005-09-01 2007-03-15 Tokyo Electron Ltd 基板搬送装置、基板搬送方法及び塗布、現像装置
JP4616731B2 (ja) * 2005-09-01 2011-01-19 東京エレクトロン株式会社 塗布、現像装置
KR100945239B1 (ko) 2008-05-15 2010-03-03 주식회사 테스 웨이퍼 이송로봇 및 웨이퍼 이송방법
JP2010069559A (ja) * 2008-09-17 2010-04-02 Ulvac Japan Ltd 搬送装置及び真空装置
KR20170100553A (ko) * 2014-12-24 2017-09-04 퀘리타우, 인크. 반자동 프로버
US11175309B2 (en) 2014-12-24 2021-11-16 Qualitau, Inc. Semi-automatic prober
KR102481699B1 (ko) * 2014-12-24 2022-12-26 퀘리타우, 인크. 반자동 프로버

Also Published As

Publication number Publication date
US6305898B1 (en) 2001-10-23
EP0975009A1 (en) 2000-01-26
TW419773B (en) 2001-01-21
KR20000011844A (ko) 2000-02-25

Similar Documents

Publication Publication Date Title
JP2000040728A (ja) ウェハ搬送機構
US6678581B2 (en) Method of calibrating a wafer edge gripping end effector
JP5134495B2 (ja) 処理装置及び処理方法
JP2018037559A (ja) 基板処理方法及び基板処理システム
JP2011258925A (ja) 基板処理装置、基板処理方法及びプログラムを記録した記憶媒体
US20050118000A1 (en) Treatment subject receiving vessel body, and treating system
JP2002313886A (ja) 基板搬送装置および基板搬送方法
JP2003224177A (ja) センタリング装置及び半導体製造装置
TW200818376A (en) Substrate detecting mechanism and substrate storing case
JPH11288988A (ja) アライメント高速処理機構
CN115552583A (zh) 晶圆搬运装置以及晶圆搬运方法
JP2009164226A (ja) 基板処理装置および基板の芯合わせ方法
JP2020004839A (ja) 半導体ワーク搬送装置
JP6534210B2 (ja) テープフレーム搬送のためのエンドエフェクタ、及びこれを備える搬送ロボット
JP2003068829A (ja) 基板搬送システム及び基板処理装置
JP2862632B2 (ja) 基板の縦型搬送装置
JPH02271643A (ja) ウエハ搬送装置
JP2002252266A (ja) ウェハの把持・移送装置及びその方法
JPH0611069B2 (ja) ウエハ整列装置
JP2945837B2 (ja) 板状体の搬送機構および搬送方法
JPH07249671A (ja) 搬送装置
US20240120224A1 (en) Semiconductor manufacturing equipment, and method for transporting replaceable components in the semiconductor manufacturing equipment
JPH07106404A (ja) 位置決め装置
KR100462894B1 (ko) 웨이퍼 반송암 억세스 위치 보정장치
WO2024004348A1 (ja) センタリング装置、センタリング方法および基板処理装置