CN101978475B - 屏蔽性盖加热器组件 - Google Patents

屏蔽性盖加热器组件 Download PDF

Info

Publication number
CN101978475B
CN101978475B CN2009801103638A CN200980110363A CN101978475B CN 101978475 B CN101978475 B CN 101978475B CN 2009801103638 A CN2009801103638 A CN 2009801103638A CN 200980110363 A CN200980110363 A CN 200980110363A CN 101978475 B CN101978475 B CN 101978475B
Authority
CN
China
Prior art keywords
heater
shielding
conduction base
heat conduction
heating element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2009801103638A
Other languages
English (en)
Other versions
CN101978475A (zh
Inventor
迈克尔·D·威尔沃斯
大卫·帕拉加斯维勒
瓦伦顿·N·图杜罗
斯蒂芬·源
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101978475A publication Critical patent/CN101978475A/zh
Application granted granted Critical
Publication of CN101978475B publication Critical patent/CN101978475B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F21/00Variable inductances or transformers of the signal type
    • H01F21/12Variable inductances or transformers of the signal type discontinuously variable, e.g. tapped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B6/00Heating by electric, magnetic or electromagnetic fields
    • H05B6/02Induction heating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B6/00Heating by electric, magnetic or electromagnetic fields
    • H05B6/02Induction heating
    • H05B6/10Induction heating apparatus, other than furnaces, for specific applications
    • H05B6/105Induction heating apparatus, other than furnaces, for specific applications using a susceptor
    • H05B6/108Induction heating apparatus, other than furnaces, for specific applications using a susceptor for heating a fluid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

本发明提供了一种适于与等离子体处理室一同使用的屏蔽性盖加热器、具有屏蔽性盖加热器的等离子体处理室以及等离子体处理的方法。本发明的方法及设备增强了等离子体处理室中的等离子体位置的位置控制,且可用于蚀刻、沉积、注入及热处理系统,以及其它期望控制等离子体位置的应用。在一个实施例中,提供了一种屏蔽性盖加热器,其包含将加热器元件夹置于其间的铝底座及RF屏蔽件。

Description

屏蔽性盖加热器组件
技术领域
本发明的实施例大致主要半导体衬底处理系统。更具体地,本发明涉及用于等离子体处理系统的屏蔽性(shielded)盖加热器组件。
背景技术
在制造集成电路中,需要对多种处理参数进行精确控制,以在衬底内获得一致的结果以及可在衬底之间重现的结果。随着用于形成半导体器件的结构的几何尺寸限制接近技术极限,更严格的容限度(tolerance)及精密的加工控制对于制造的成功是重要的。然而,由于几何尺寸的缩减,精确的临界尺寸(critical dimension)及蚀刻处理的控制已经变得越来越困难。
许多半导体器件在等离子体存在的情况下进行处理。如果等离子体未均匀地位于衬底的上方,则处理结果也可能不均匀。
虽然已证明传统的等离子体处理室在较大的临界尺寸具有稳健的性能,但控制等离子体均匀度的现存技术为可改良等离子体均匀度的区块,以促成下一世代的次微米结构(如具有约55nm及超过此范围的临界尺寸)的成功制造。
本发明人已经发现对于用于对处理室的盖温度进行控制的加热器的设计进行改善在等离子体均匀度上具有有益的效果。
发明内容
本发明的实施例主要提供了一种屏蔽性盖加热器。其它实施例提供了用于控制等离子体处理室的盖温度的方法及设备。方法和设备增强了在等离子体处理室中的等离子体位置的位置控制,且可用于蚀刻、沉积、注入及热处理系统,以及期望控制等离子体位置的其它应用。
在一个实施例中,提供了一种屏蔽性盖加热器,其包含将加热器元件夹置于其间的铝底座与RF屏蔽件。热绝缘体设置于RF屏蔽件上。
在另一个实施例中,提供了一种屏蔽性盖加热器,其包含铝底座、RF屏蔽件及加热器元件。此底座具有形成于其内的、容纳加热器元件的沟槽。RF屏蔽件覆盖住该沟槽,以封闭住加热器元件。
在另一个实施例中,屏蔽性盖加热器包含与其耦接的电感器线圈。电感器线圈可选择地是可变电感器,由此使得能够调节电感,以在处理室中定位等离子体。
在另一个实施例中,提供了一种等离子体处理室,其包含:由盖所封闭住的腔室主体;设置于腔室主体中的衬底支撑件;邻近盖设置的线圈,以将RF功率耦合到腔室主体中的气体;以及耦合到盖的屏蔽性盖加热器。该盖加热器包含将加热器元件夹置于其间的铝底座及RF屏蔽件。该盖加热器系可选择地包含电感器线圈。
在另一个实施例中,提供一种转变等离子体处理室的处理,其包含:判定等离子体在处理室中的位置;选择耦接到盖加热器的电感器线圈的电感及/或位置,其会使得等离子体位置由所判定的位置移动到目标位置;以及利用具有所选择的电感及/或位置的电感器线圈来对衬底进行等离子体处理。
附图说明
为让本发明的上述特征更明显易懂,通过参考部分如附图所示的实施例,可以得到如上所述的本发明的更具体的描述。须注意的是,因为本发明可以容许其他等效实施例,所以附图仅揭露了本发明的特定实施例并因此并非用以限定本发明的范围。
图1是示例半导体衬底处理设备的示意图,其包含根据本发明的一个实施例的屏蔽性盖加热器;
图2A-B是屏蔽性盖加热器的两个实施例的示意截面图;
图3是图1的屏蔽性盖加热器的一个实施例的等角视图;
图4是图1的屏蔽性盖加热器的一个实施例的俯视图;
图5是屏蔽性盖加热器的一个实施例的局部前视图;
图6是屏蔽性盖加热器的一个实施例的局部后视图;
图7是屏蔽性盖加热器的另一实施例的局部侧视图;以及
图8是用等离子体处理衬底的方法的一个实施例的流程图。
为便于了解,在可能的情况下,附图中相同的附图标记表示对于附图公共的相同组件。也预料到实施例采用的组件和特征可应用到其它实施例而不需特别详述。
具体实施方式
图1图示了示例性等离子体处理室100的示意图,其具有本发明的屏蔽性盖加热器180的一个实施例。等离子体处理室100的特定实施例在图1中示出为蚀刻反应器,但是预期到屏蔽性盖加热器180可被有利地用在其它形式的等离子体处理室中,包含化学气相沉积室、物理气相沉积室、注入反应室(implantation chamber)、氮化反应室、等离子体退火室、等离子体处理室以及灰化反应室。因此,图1的等离子体处理室的实施例是用于说明的目的,并非用于限制本发明的范围。
处理室100一般包含腔室主体110、气体分配盘(gas panel)138及控制器140。腔室主体110包含围绕出处理容积(process volume)的底部128、侧壁130及盖120。侧壁130及底部128由传导材料(如不锈钢或铝)制成。盖120可由铝、不锈钢、陶瓷或其它合适的材料制成。
经由喷淋头(showerhead)或者是一个或多个喷嘴136而将来自气体分配盘138的处理气体提供到腔室主体110的处理容积内。在图1图示的实施例中,处理室100包含沿着腔室主体的侧壁130设置的多个喷嘴136以及在盖120下方居中设置的喷嘴136。设置在盖120中央的喷嘴136可以包含可独立控制的径向且面向下的气体出口埠。
控制器140包含中央处理单元(CPU)144、存储器142以及支持电路146。控制器140耦合到处理室100的部件,并控制该些部件以及在腔室主体110中执行的处理,且控制器140可以有助于与集成电路晶圆厂(fab)的数据库交换可选择的数据。
在图示的实施例中,盖120为实质上平坦的陶瓷构件。处理室100的其它实施例可具有其它型式的顶壁,如圆顶状的顶壁。在盖120的上方设置有包含一个或多个电感器线圈元件(图中图示为两个共轴的线圈组件)的天线112。天线112经由第一匹配网络170而耦接到射频(RF)等离子体功率源118。在等离子体处理期间,天线112经由功率源118所提供的RF功率而被供给能量,以维持由处理气体在腔室主体110的内部容积内所形成的等离子体106。
在一个实施例中,衬底基座组件116包含座组件162、底座组件114以及静电卡盘188。该座组件162将底座组件114耦接到腔室主体110的底部128。
静电卡盘188通常由陶瓷或类似的介电材料形成,且包括至少一个使用电源128控制的钳合电极186(clamping electrode)。在另一个实施例中,静电卡盘188可包括至少一个RF电极(未示出),该RF电极经由第二匹配网络124而耦接到衬底偏压的电源122。静电卡盘188可选择地包括一个或多个衬底加热器。在一个实施例中,可利用二个同心且可独立控制的电阻加热器(其显示为同心的加热器184A、184B)以控制衬底150的边缘到中心的温度分布。
静电卡盘188还可以包括例如沟槽的多个气体通道(未示出),其形成在卡盘的衬底支撑表面中并且流体耦接到热传递(或背侧)气体的源148。在操作中,将背侧气体(如,氦(He))于受控的压力下提供到气体通道中以增强静电卡盘188与衬底150之间的热传递。传统地,至少在静电卡盘的衬底支撑表面上提供可抵抗在处理衬底时使用的化学品及温度的涂层。
底座组件114通常由铝或其它金属材料形成。底座组件114包含一个或多个冷却通道,该冷却通道耦接到加热或冷却液体的源182。由源182经由通道提供的热传递流体(热传递流体可至少为一种气体(例如氟氯烷、氦或氮)或一种液体(例如水或油)),以控制底座组件114的温度,由此加热或冷却底座组件114,且由此在处理期间部分地控制置于底座组件114上的衬底150的温度。
使用多个传感器(在图1中未示出)监视基座组件116的温度(以及因此,衬底150的温度)。将在下文中进一步描述传感器经过基座组件116的路径。温度传感器(如光纤温度传感器)耦接到控制器140以提供基座组件116的温度分布的计量指示。
盖120的温度由屏蔽性盖加热器180控制。在一个实施例中,屏蔽性盖加热器180是由电源178提供能量的电阻加热器。在盖120由陶瓷材料制成的实施例中,屏蔽性盖加热器180可粘附或夹持到盖120的外表面。
图2A是置于盖120上的屏蔽性盖加热器180的一个实施例的局部剖面视图。屏蔽性盖加热器180通常包含传导底座202、加热器元件204以及RF屏蔽件206。加热器元件204夹置于传导底座202与RF屏蔽件206之间。加热器元件204一般包含嵌设在电绝缘体210中的电阻元件212。RF屏蔽件206充分地防止了电阻元件212影响由天线112产生的磁场及电场线穿过盖220的定位,使得等离子体106可更精确地定位在腔室主体110的内部容积中。
传导底座202一般具有足以在加热器元件204与盖120之间提供均匀热传递的足够质量。在一个实施例中,传导底座202由具有良好热传导特性的金属材料(如铝等)制成。传导底座202具有适于向盖220提供期望热分布的几何形状。
RF屏蔽件206通常由金属材料(如铝)制成。RF屏蔽件206可以是铝箔或板。在一个实施例中,RF屏蔽件206与传导底座202具有相同的平面形状。
可选择地,热绝缘体208(heat insulator)可设置在RF屏蔽件206上。热绝缘体208一般由对于磁场及电场具有较少影响的材料制成,如高温弹性体(例如硅氧树脂(silicone)或其它高温泡沫材料)。热绝缘体208可预防在高温时不慎接触盖加热器180时可能遭受的烧伤情形。
传导底座202、加热器元件204及RF屏蔽件206可使用紧固件而紧固、夹持在一起或通过合适的粘合剂固定。在一个实施例中,使用高温环氧树脂将屏蔽性盖加热器180的部件固定在一起。
图2B是可以用在处理室100中的屏蔽性盖加热器280的另一实施例的示意性截面图。屏蔽性盖加热器280一般包含传导底座282、加热器元件284及RF屏蔽件206。可选择的热绝缘体208可设置在RF屏蔽件206上。如上文中参照图2A的加热器元件204描述地构造加热器元件284。传导底座282实质上类似于前述传导底座202,而增加了在顶表面290中形成的沟槽286。沟槽286的尺寸确定为容纳加热器元件284。沟槽286的侧壁288具有足够使得当RF屏蔽件206设置于传导底座282的顶表面290上时可以将加热器元件284包围在沟槽286内的高度。
图3图示了屏蔽性盖加热器280的等角视图。屏蔽性盖加热器280一般包含第一部分302和第二部分304。每个部分都包括环状部件300和多个指状部件308、318。指状部件308、318从环状部件300径向向内延伸。部分302、304的环状部件300具有相同的径向尺寸,因此在耦接到一起时,部分302、304会形成大致圆形的平面形状。指状部件318一般比指状部件308更短,并且指状部件318在相邻指状部件308之间交织以形成类似轮辐的图案。
第一和第二部分302、304由至少一个桥连接器310耦接。在图3示出的实施例中,图示了二个桥连接器310、312。在一个实施例中,桥连接器中的至少一者(如桥连接器312)可包含电感器线圈314。桥连接器310、312中的至少一者耦接到设置在每一部分304、302中的加热器元件284,因此可以利用单个导线316而将屏蔽性盖加热器280耦接到电源178。
图4图示了在已移除RF屏蔽件206以暴露出加热器元件284的状态下的屏蔽性盖加热器280的俯视图。如图所示,加热器元件284可沿着其路径而为阶梯型(stepped),因此提供更大密度的加热能力。如下文中讨论的,加热器元件284的端部包含连接部402以有助于每一部分302、304的加热器元件的耦合。图4中也示出了在传导底座282中形成的螺纹孔404,以促进桥连接器310、312的紧固。
图5是示出了桥连接器310的屏蔽性盖加热器280的一个实施例的局部前视图。桥连接器310一般包含主体500,该主体500具有容纳紧固件504的多个孔洞502。紧固件504与形成在传导底座282中的螺纹孔404接合,由此将部分302、304紧固在一起。部分302、304可以包含台阶510,其与凸出部(tab)512接合,以沿着预先限定的定向相对于传导底座282定位主体500。
桥连接器310额外包含多个从其突出的销506。销506构造为电连接形成在加热器元件284的端部的连接部402。虽然在图5中未示出,销506通过主体500而耦合设置在部分302、304中的每一者中的每个加热器元件284的电阻元件。
可选择地,主体500可包含电耦接到部分302、304的底座282的导电材料。可替代地,主体500可由绝缘体制成。
图6图示了桥连接器312的一个实施例。桥连接器312如上所述地耦接到屏蔽性盖加热器280的部分302、304。也如前所述,桥连接器312包含电感器线圈314。电感器线圈314的尺寸可以确定为提供经设计以适于影响在反应室中的磁场及电场,以对等离子体106产生期望的效果电感(inductance)。在一个实施例中,电感器314是可变电感器,以允许在进行处理或原位处理之间调节电感值。电感器线圈314可与传导底座282隔离,或可替代地经由导线602、604而电耦接到底座282。
桥连接器312的主体600可以是传导性的,以电耦接部分302、304的传导底座282。可替代地,桥连接器的主体600可由介电材料制成,以电隔离部分302、304。
图7是屏蔽性盖加热器780的另一个实施例的局部俯视图。屏蔽性盖加热器780一般构造为与前述加热器180、280相似,而增加了可重新定位(repositionable)的电感器700。屏蔽性盖加热器780包含多个安装孔洞702,其允许电感器700可以紧固在任何数目的位置。因此,通过将电感器700紧固到不同组的安装孔洞702,可以按照需要改变电感器700沿着屏蔽性盖加热器780的位置以适合处理需求。
在一个实施例中,电感器700可与屏蔽性盖加热器780电绝缘。在一个实施例中,电感器700可经由接触销、安装紧固件或其它合适的方式而电耦接到屏蔽性盖加热器780的传导底座。
图8是在装备有屏蔽性盖加热器的处理室中对衬底进行等离子体处理的方法800的框图流程图。方法800通过在802处判定等离子体在处理室中的位置而开始。可通过经由光学方法、利用传感器、经验数据、处理结果的分析、模型化或其它合适的方式测量等离子体的性质而判定等离子体的位置。在804处,选择耦接到盖加热器的电感器线圈的电感和/或位置,而此将会使得等离子体的位置从所判定的位置移动到目标位置。在806处,利用具有所选择的电感和/或位置的电感器线圈,在等离子体存在的状态下处理衬底。在衬底上进行的处理可以从由蚀刻、化学气相沉积、物理气相沉积、注入、氮化、退火、等离子体处理及灰化等其它等离子体制程所组成的组中选择。
因此,提供了促进等离子体在处理室中定位的盖加热器。因为等离子体可置于更理想的位置,所以可以实现更均一且可预见的处理要求。
虽然前述内容关于本发明的实施例,但是可在未偏离本发明的基本范围的状态下完成本发明的其它及另外的实施例,且其范围由下列权利要求决定。

Claims (15)

1.一种屏蔽性盖加热器,包含:
环状热传导底座,其具有多个向内延伸的指,所述多个指形成类似轮辐的图案,所述指的远端不连接;
加热器元件,其设置于所述热传导底座上;以及
RF屏蔽件,其将所述加热器元件夹置在所述RF屏蔽件与所述热传导底座之间。
2.根据权利要求1所述的屏蔽性盖加热器,还包括:
热绝缘体,其设置在所述RF屏蔽件上。
3.根据权利要求1所述的屏蔽性盖加热器,其中,所述RF屏蔽件包括铝箔。
4.根据权利要求1所述的屏蔽性盖加热器,其中,所述RF屏蔽件包括铝板,所述铝板粘附到所述加热器元件。
5.根据权利要求1所述的屏蔽性盖加热器,其中,所述热传导底座包括:
沟槽,其容纳所述加热器元件。
6.根据权利要求1所述的屏蔽性盖加热器,其中,所述加热器元件包括:
第一加热器电路,其设置在所述热传导底座的第一部分上;以及
第二加热器电路,其设置在所述热传导底座的第二部分上,其中,所述第一加热器电路和所述第二加热器电路通过桥接所述热传导底座的所述第一部分和所述第二部分的连接器而耦接。
7.根据权利要求6所述的屏蔽性盖加热器,还包含:
电感器线圈,其耦接所述热传导底座的所述第一部分和所述第二部分。
8.根据权利要求1所述的屏蔽性盖加热器,还包含:
电感器线圈,其耦接到所述热传导底座。
9.根据权利要求8所述的屏蔽性盖加热器,其中,所述电感器线圈能够沿着所述热传导底座重新定位。
10.根据权利要求8所述的屏蔽性盖加热器,其中,所述电感器线圈是可变电感器。
11.一种等离子体处理室,包含:
腔室主体;
盖,其封闭所述腔室主体的内部容积;
衬底支撑件,其设置在所述内部容积内:
线圈,其邻近所述盖设置,以将RF功率耦合到所述腔室主体内的气体;以及
屏蔽性盖加热器,其耦接到所述盖,其中,所述盖加热器还包括:
环状传导底座,其具有多个向内延伸的指,所述多个指形成类似轮辐的图案,所述指的远端不连接;
加热器元件;以及
RF屏蔽件,其与所述传导底座夹置所述加热器元件。
12.根据权利要求11所述的等离子体处理室,其中,所述屏蔽性盖加热器还包括:
电感器线圈,其耦接到所述传导底座,并且其中所述电感器线圈能够沿着所述传导底座重新定位。
13.根据权利要求11所述的等离子体处理室,其中,所述屏蔽性盖加热器还包括:
电感器线圈,其耦接到所述传导底座,并且其中所述电感器线圈是可变电感器。
14.根据权利要求11所述的等离子体处理室,其中,所述热传导底座包括:
沟槽,其容纳所述加热器元件。
15.根据权利要求11所述的等离子体处理室,其中,所述加热器元件包括:
第一加热器电路,其设置在所述热传导底座的第一部分上;以及
第二加热器电路,其设置在所述热传导底座的第二部分上,其中,所述第一加热器电路和所述第二加热器电路通过桥接所述热传导底座的所述第一部分和所述第二部分的连接器而耦接。
CN2009801103638A 2008-03-21 2009-03-19 屏蔽性盖加热器组件 Active CN101978475B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US3851008P 2008-03-21 2008-03-21
US61/038,510 2008-03-21
PCT/US2009/037722 WO2009117612A2 (en) 2008-03-21 2009-03-19 Shielded lid heater assembly

Publications (2)

Publication Number Publication Date
CN101978475A CN101978475A (zh) 2011-02-16
CN101978475B true CN101978475B (zh) 2013-09-25

Family

ID=41087846

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801103638A Active CN101978475B (zh) 2008-03-21 2009-03-19 屏蔽性盖加热器组件

Country Status (5)

Country Link
US (3) US8419893B2 (zh)
KR (1) KR101554123B1 (zh)
CN (1) CN101978475B (zh)
TW (1) TWI517761B (zh)
WO (1) WO2009117612A2 (zh)

Families Citing this family (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011070721A1 (ja) * 2009-12-09 2011-06-16 パナソニック株式会社 高周波加熱装置及び高周波加熱方法
US8987678B2 (en) * 2009-12-30 2015-03-24 Fei Company Encapsulation of electrodes in solid media
US8436318B2 (en) 2010-04-05 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus for controlling the temperature of an RF ion source window
US8920599B2 (en) 2010-10-19 2014-12-30 Applied Materials, Inc. High efficiency gas dissociation in inductively coupled plasma reactor with improved uniformity
US10595365B2 (en) * 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
US20130008602A1 (en) * 2011-07-07 2013-01-10 Lam Research Ag Apparatus for treating a wafer-shaped article
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9948214B2 (en) * 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6702640B2 (ja) 2013-06-17 2020-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマリアクタ用の強化されたプラズマ源
CN104717817A (zh) * 2013-12-12 2015-06-17 中微半导体设备(上海)有限公司 一种用于电感耦合型等离子处理器射频窗口的加热装置
US9945033B2 (en) * 2014-01-06 2018-04-17 Applied Materials, Inc. High efficiency inductively coupled plasma source with customized RF shield for plasma profile control
US10249475B2 (en) 2014-04-01 2019-04-02 Applied Materials, Inc. Cooling mechanism utlized in a plasma reactor with enhanced temperature regulation
JP6219227B2 (ja) * 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
KR102163083B1 (ko) * 2014-07-02 2020-10-07 어플라이드 머티어리얼스, 인코포레이티드 홈 라우팅 광섬유 가열을 포함하는 온도 제어 장치, 기판 온도 제어 시스템들, 전자 디바이스 처리 시스템들 및 처리 방법들
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105722261B (zh) * 2014-12-03 2018-10-30 中微半导体设备(上海)有限公司 一种icp刻蚀器件中的加热组件及加热组件设置方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10032604B2 (en) 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11031252B2 (en) * 2016-11-30 2021-06-08 Taiwan Semiconductor Manufacturing Compant, Ltd. Heat shield for chamber door and devices manufactured using same
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10636630B2 (en) * 2017-07-27 2020-04-28 Applied Materials, Inc. Processing chamber and method with thermal control
TWI791558B (zh) * 2017-07-27 2023-02-11 美商應用材料股份有限公司 用於半導體基板處理室的溫度控制的方法、非暫時性機器可讀儲存媒體以及系統
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11521828B2 (en) * 2017-10-09 2022-12-06 Applied Materials, Inc. Inductively coupled plasma source
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
WO2019199764A1 (en) * 2018-04-10 2019-10-17 Applied Materials, Inc. An advanced ceramic lid with embedded heater elements and embedded rf coil for hdp cvd and inductively coupled plasma treatment chambers
CN110519905B (zh) * 2018-05-21 2022-07-22 北京北方华创微电子装备有限公司 温控装置和等离子设备
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) * 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
WO2020076441A1 (en) * 2018-10-12 2020-04-16 Applied Materials, Inc. Chamber lid with integrated heater
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021042409A (ja) * 2019-09-09 2021-03-18 東京エレクトロン株式会社 プラズマ処理装置及び温度制御方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113921360B (zh) * 2020-07-10 2023-10-31 中微半导体设备(上海)股份有限公司 等离子体处理装置中的加热装置及抗射频干扰方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
CN1471727A (zh) * 2000-11-01 2004-01-28 应用材料有限公司 在衬底中的大高宽比部件的蚀刻

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5619103A (en) * 1993-11-02 1997-04-08 Wisconsin Alumni Research Foundation Inductively coupled plasma generating devices
WO1998042012A1 (fr) * 1997-03-17 1998-09-24 Matsushita Electric Industrial Co., Ltd. Procede et dispositif permettant le traitement de plasma
US6149760A (en) * 1997-10-20 2000-11-21 Tokyo Electron Yamanashi Limited Plasma processing apparatus
CA2312777A1 (en) * 1997-12-05 1999-06-17 Robert A. Ditizio Plasma reactor with a deposition shield
EP1252800A1 (de) * 2000-02-01 2002-10-30 E.G.O. ELEKTRO-GERÄTEBAU GmbH Elektrisches heizelement und verfahren zu seiner herstellung
US7354501B2 (en) * 2002-05-17 2008-04-08 Applied Materials, Inc. Upper chamber for high density plasma CVD
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR20050116230A (ko) * 2004-06-07 2005-12-12 엘지.필립스 엘시디 주식회사 플라즈마 강화 화학기상증착 장치
US7776156B2 (en) * 2005-02-10 2010-08-17 Applied Materials, Inc. Side RF coil and side heater for plasma processing apparatus
JP4903610B2 (ja) * 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
CN1471727A (zh) * 2000-11-01 2004-01-28 应用材料有限公司 在衬底中的大高宽比部件的蚀刻

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2004-214622A 2004.07.29

Also Published As

Publication number Publication date
US8419893B2 (en) 2013-04-16
US20090236315A1 (en) 2009-09-24
WO2009117612A2 (en) 2009-09-24
TW201004487A (en) 2010-01-16
TWI517761B (zh) 2016-01-11
US9362148B2 (en) 2016-06-07
KR20110000658A (ko) 2011-01-04
CN101978475A (zh) 2011-02-16
KR101554123B1 (ko) 2015-09-18
US20130189848A1 (en) 2013-07-25
US10083816B2 (en) 2018-09-25
US20160254123A1 (en) 2016-09-01
WO2009117612A3 (en) 2009-12-10

Similar Documents

Publication Publication Date Title
CN101978475B (zh) 屏蔽性盖加热器组件
TWI741551B (zh) 靜電夾頭、電漿製程系統、rf訊號的導引方法及靜電夾頭的製造方法
KR101965725B1 (ko) 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대
JP3129419U (ja) 基板の温度を制御する装置
CN100440422C (zh) 具有动态温度控制的基片支架
CN103155118B (zh) 腔室盖加热器环组件
KR101514942B1 (ko) 반도체 장치의 rf 전력 전달 시스템
CN103891417B (zh) 具有腔室壁温度控制的等离子体反应器
US20090159566A1 (en) Method and apparatus for controlling temperature of a substrate
US20030087488A1 (en) Inductively coupled plasma source for improved process uniformity
CN111357075B (zh) 受等离子体加热的窗的多区域冷却
TW201448109A (zh) 具有多個獨立邊緣區域的多區域加熱之靜電吸座
CN107426837B (zh) 层压加热器与加热器电压输入之间的连接
CN110226222A (zh) 具有射频隔离式加热器的静电吸盘
US20170211185A1 (en) Ceramic showerhead with embedded conductive layers
US20230071933A1 (en) Planar multi-layer radio frequency filters including stacked coils with structural capacitance
CN111418052A (zh) 具有一个或更多个加热器层的衬底处理系统印刷电路控制板组件
WO2023114082A1 (en) Improved thermal and electrical interface between parts in an etch chamber
CN114520138A (zh) 一种绝缘窗、反应腔及电感耦合等离子体处理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant