TWI517761B - 屏蔽性蓋加熱器組件 - Google Patents

屏蔽性蓋加熱器組件 Download PDF

Info

Publication number
TWI517761B
TWI517761B TW098109260A TW98109260A TWI517761B TW I517761 B TWI517761 B TW I517761B TW 098109260 A TW098109260 A TW 098109260A TW 98109260 A TW98109260 A TW 98109260A TW I517761 B TWI517761 B TW I517761B
Authority
TW
Taiwan
Prior art keywords
heater
heat conducting
shield
plasma
base
Prior art date
Application number
TW098109260A
Other languages
English (en)
Other versions
TW201004487A (en
Inventor
威爾沃夫麥可D
帕拉葛西維里大衛
托多羅瓦倫汀N
尤史帝芬
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201004487A publication Critical patent/TW201004487A/zh
Application granted granted Critical
Publication of TWI517761B publication Critical patent/TWI517761B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F21/00Variable inductances or transformers of the signal type
    • H01F21/12Variable inductances or transformers of the signal type discontinuously variable, e.g. tapped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B6/00Heating by electric, magnetic or electromagnetic fields
    • H05B6/02Induction heating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B6/00Heating by electric, magnetic or electromagnetic fields
    • H05B6/02Induction heating
    • H05B6/10Induction heating apparatus, other than furnaces, for specific applications
    • H05B6/105Induction heating apparatus, other than furnaces, for specific applications using a susceptor
    • H05B6/108Induction heating apparatus, other than furnaces, for specific applications using a susceptor for heating a fluid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

屏蔽性蓋加熱器組件
本發明之實施例大致有關於半導體基材處理系統。更詳言之,本發明有關於一用於電漿處理系統的屏蔽性(shielded)蓋加熱器組件。
在製造積體電路中,需要對多種製程參數進行精密控制,以在一基材內獲得一致的結果以及可在基材之間重現的結果。由於形成半導體元件之結構的幾何尺寸限制係對抗技術極限,故較嚴格的容限度及精密的製程控制對於製造的成功性為重要的。然而,因幾何尺寸的縮減,精確的關鍵尺寸(critical dimension)及蝕刻製程的控制係增加了困難度。
許多半導體元件在一電漿存在下進行處理。若電漿未均勻地處於基材的上方,則處理結果亦可能不均勻。
雖然已證明傳統的電漿處理室在較大的關鍵尺寸具有穩健的表現,但控制電漿均勻度的現存技術已為一可改良電漿均勻度的區塊,藉此可提供下一世代的次微米結構(如具有約55nm及超過此範圍的關鍵尺寸)的成功製造。
本案之發明人係發現在用於控制處理室之蓋溫度的加熱器之改良設計在電漿均勻度上具有有益的效果。
本發明之實施例一般係提供一種屏蔽性蓋加熱器。其他實施例係提供用於控制電漿處理室的蓋溫度之方法及設備。本發明之方法及設備係增進在一電漿處理室中電漿位置的位置控制,且可用於蝕刻、沉積、佈植、及熱處理系統,以及在期望控制電漿位置的其他應用。
在一實施例中,係提供一種屏蔽性蓋加熱器,其包含將一加熱器元件夾設於其間的鋁底座與RF屏蔽。一熱絕緣體係設置於RF屏蔽上。
在另一實施例中,係提供一種屏蔽性蓋加熱器,其包含一鋁底座、一RF屏蔽及加熱器元件。此底座具有一形成於其內的通道,以容納加熱器元件。RF屏蔽覆蓋住該通道,以圍繞住加熱器元件。
在另一實施例中,屏蔽性蓋加熱器包含一與其耦接之電感器線圈。電感器線圈係可選擇的為一可變電感器,藉此可調節電感,以在處理室中定位一電漿。
在另一實施例中,係提供一種電漿處理室,其包含:一由蓋所圍繞住的腔室主體;一設置於腔室主體中的基材支撐件;一設置而鄰近蓋之線圈,以耦合RF功率至腔室主體中的氣體;以及一耦合至蓋的屏蔽性蓋加熱器。該蓋加熱器包含將一加熱器元件夾設於其間的鋁底座及RF屏蔽。該蓋加熱器係可選擇地包含一電感器線圈。
在又一實施例中,提供一種轉變電漿處理室的製程,其包含:判定電漿在處理室中的位置;選擇一耦接至蓋加熱器之電感器線圈的電感及/或位置,其會使得電漿位置由所判定的位置移動至一目標位置;以及利用具有所選擇之電感及/或位置的電感器線圈來電漿處理一基材。
第1圖繪示一例示電漿處理室100,其具有本發明之屏蔽性蓋加熱器180的一實施例。電漿處理室100的特別實施例為顯示於第1圖,如一蝕刻反應器,但預期屏蔽性蓋加熱器180可有利的用於其他形式的電漿處理室,包含化學氣相沉積室、物理氣相沉積室、佈植反應室、氮化反應室、電漿退火室、電漿處理室、及灰化反應室。因此,第1圖之電漿處理室的實施例係提供而用於說明的目的,並非用於限制本發明的範疇。
處理室100一般包含腔室主體110、氣體分配盤(gas panel)138及控制器140。腔室主體110包含圍繞出一製程容積(process volume)的底部128、側壁130及蓋120。側壁130及底部128由導電材料(如不鏽鋼或鋁)製成。蓋120可由鋁、不鏽鋼、陶瓷或其他合適的材料製成。
經由噴氣頭或者是一或多個噴嘴136而將來自氣體分配盤138的製程氣體提供至腔室主體110的製程容積內。在第1圖繪示的實施例中,處理室100包含沿著腔室主體的側壁130所設置的複數個噴嘴136,以及於蓋120下方而置中設置的一噴嘴136。設置於蓋120中央的噴嘴136包含可獨立控制之徑向且面向下的氣體出口埠。
控制器140包含中央處理單元(CPU)144、記憶體142、及支援電路146。控制器140耦接至處理室100的部件,並控制該些部件以及在腔室主體110中進行的製程,且控制器140可促進與積體電路晶圓廠(fab)的資料庫之可選擇的資料交換。
在繪示的實施例中,蓋120為一實質上平坦的陶瓷部件。處理室100之其他實施例可具有其他型式的頂壁,如一圓頂狀的頂壁。在蓋120的上方係設置有一包含一或多個電感器線圈元件的天線112(圖中係顯示為二共軸的線圈元件)。天線112經由第一匹配網路170而耦接至射頻(RF)電漿功率源118。在電漿處理期間,天線112經由功率源118所提供的RF功率而供給其能量,以維持由製程氣體在腔室主體110的內部容積內所形成的電漿106。
在一實施例中,基材基座組件116包含一座組件162、一底座組件114及一靜電吸座188。此座組件162剛底座組件114耦接至腔室主體110之底部128。
靜電吸座188通常由陶瓷或類似的介電材料形成,且包括至少一使用電源190所控制的鉗合電極(clamping electrode)186。在又一實施例中,靜電吸座188可包括至少一RF電極(未繪示),且該RF電極係經由第二匹配網路124而耦接至基材偏壓之電源122。靜電吸座188可選擇地包括一或多個基材加熱器。在一實施例中,可利用二同心設置且可獨立控制之電阻加熱器以控制基材150之邊緣至中心的溫度分佈,其顯示為同心設置之加熱器184A、184B。
靜電吸座188可更包括複數個氣體通道(未繪示),例如溝槽,其係形成在吸座之基材支撐表面中,且流體耦接至一熱傳(或背側)氣體的來源148。在操作中,背側氣體(如,氦(He))於受控之壓力下提供至氣體通道中以促進靜電吸座188與基材150間的熱傳遞。傳統上,至少在靜電吸座之基材支撐表面提供一可抵抗處理基材時所使用之化學品及温度的塗層。
底座組件114通常由鋁或其他金屬材料形成。底座組件114包含一或多個冷却通道,且該些冷卻通道係耦接至加熱或冷卻液體的來源182。來自來源182的熱傳流體係經由通道所提供,藉以控制底座組件114的溫度,也因而藉此加熱或冷卻底座組件114,且因而在處理期間部分控制置於底座組件114上之基材150的溫度,其中熱傳流體可為至少一氣體,例如氟氯烷、氦或氮,或一液體,例如水或油。
基座組件116的溫度(以及因此,基材150的溫度)係使用複數個感測器(未繪示於第1圖)所監控。感測器繞設於基座組件116的方式將進一步描述於後。溫度感測器(如光纖溫度感測器)係耦接至控制器140以提供基座組件116之溫度分佈的計量指示。
蓋120的溫度係藉由屏蔽性蓋加熱器180控制。在一實施例中,屏蔽性蓋加熱器180為一藉由電源178所供能的電阻加熱器。在蓋120由陶瓷材料製成的實施例中,屏蔽性蓋加熱器180可黏附或夾持至蓋120的外表面。
第2A圖為屏蔽性蓋加熱器180置於蓋120上之一實施例的部分剖面視圖。屏蔽性蓋加熱器180通常包含一傳導底座202、一加熱器元件204及一RF屏蔽206。加熱器元件204係夾設在傳導底座202及RF屏蔽206之間。加熱器元件204大致包含一嵌設在電絕緣體210中的電阻元件212。RF屏蔽206實質上防止了電阻元件212影響由天線112產生之磁場及電場線通過蓋220之定位,故電漿106可更準確的定位在腔室主體110之內部容積中。
傳導底座202大致具有一質量足以提供在加熱器元件204與蓋120間的均勻熱傳遞。在一實施例中,傳導底座202由一具有良好熱傳導特性的金屬材料製成,如鋁及其相似者。傳導底座202具有一適於提供一期望熱分佈至蓋220的幾何形式。
RF屏蔽206通常由金屬材料(如鋁)製成。RF屏蔽206可以是鋁箔或板。在一實施例中,RF屏蔽206與傳導底座202具有相同的平面形式。
可選擇地,一熱絕緣體208可安裝於RF屏蔽206上。熱絕緣體208一般由一對於磁場及電場具有較少影響的材料製成,如高溫彈性體,例如為矽氧樹脂(silicone)或其他高溫泡沫塑料。熱絕緣體208可預防在高溫時不慎接觸蓋加熱器180時可能遭受的燒傷情形。
傳導底座202、加熱器元件204及RF屏蔽206可使用緊固件而緊固、夾持在一起或藉由一合適黏合劑固定。在一實施例中,屏蔽性蓋加熱器180之部件係使用高温環氧樹脂而固定在一起。
第2B圖為一可利用於處理室100中之屏蔽性蓋加熱器280的另一實施例之橫切面示意圖。屏蔽性蓋加熱器280一般包含傳導底座282、加熱器元件284及RF屏蔽206。一可選擇的熱絕緣體208可設置在RF屏蔽206上。加熱器元件284之配置如前方參考第2A圖所描述的加熱器元件204。傳導底座282實質上相似於前述之傳導底座202,但在頂表面290中增設有通道286。通道286的大小為可容納加熱器元件284。通道286之側壁288具有一足夠高度,而使得當RF屏蔽206設置於傳導底座282的頂表面290上時,加熱器元件284可被包圍在通道286內。
第3圖繪示屏蔽性蓋加熱器280的等角視圖。屏蔽性蓋加熱器280一般包含一第一部分302及一第二部分304。每一部分包括一環狀部件300及複數個指狀部件308、318。指狀部件308、318由環狀部件300徑向往內延伸。部分302、304的環狀部件300具有相同的半徑大小,故當其耦接一起時,部分302、304會形成一大致圓形的平面形式。指狀部件318一般係短於指狀部件308,且指狀部件318在相鄰指狀部件308之間交織以形成一似輪輻圖樣。
第一及第二部分302、304藉由一橋接器310耦接。在第3圖繪示的實施例中,係繪示有二個橋接器310、312。在一實施例中,橋接器之至少一者(如橋接器312)可包含電感器線圈314。橋接器310、312之至少一者耦接至設置在每一部分304、302中的加熱器元件284,故可利用單一導線316而將屏蔽性蓋加熱器280耦接至電源178。
第4圖繪示屏蔽性蓋加熱器280之頂視圖,其中已移除RF屏蔽206以暴露出加熱器元件284。如圖所示,加熱器元件384可沿著其路徑而為階形(stepped),故可提供較大密度的熱容量。加熱器元件284的端部包含接點402以促進每一部分302、304之加熱器元件的耦合,如將於後文中討論。第4圖中亦說明在傳導底座282中形成的螺孔404,以促進橋接器310、312的緊固。
第5圖為一說明橋接器310之屏蔽性蓋加熱器280的一實施例之部分前視圖。橋接器310大致包含一具有複數個孔洞502的主體500,該些孔洞502容納緊固件504。緊固件504與在傳導底座282中所形成的螺孔404接合,藉此將部分302、304緊固在一起。部分302、304可包含一階梯510,而階梯510與凸出部(tab)512接合,以在一預定定向而相對於傳導底座282定位該主體500。
橋接器310額外的包含複數個由其突出的銷506。銷506係配置以電連接至形成於加熱器元件284之端部的接點402。雖然未繪示於第5圖,銷506通過主體500而耦合設置於每一部分302、304之各自加熱器元件284的電阻元件。
可選擇地,主體500可包含一導電材料,其電耦接至部分302、304之底座282。可替代地,主體500可由一絕緣體製成。
第6圖繪示橋接器312的一實施例。橋接器312為耦接至如前述之屏蔽性蓋加熱器280的部分302、304。亦如前所討論者,橋接器312包含電感器線圈314。電感器線圈314的大小可提供一電感(inductance),而此電感係適於影響在反應室中的磁場及電場,而對電漿106產生期望的效果。在一實施例中,電感器314為一可變電感器,以允許在進行製程或原位處理間的電感值之調節。電感器線圈314可與傳導底座282隔離,或可替代地經由導線602、604而電耦接至底座282。
橋接器312之主體600可為具傳導性的,以電耦接部分302、304的傳導底座282。可替代地,橋接器之主體600可由介電材料製成,以電絕緣該些部分302、304。
第7圖為屏蔽性蓋加熱器780的另一實施例之部分頂視圖。屏蔽性蓋加熱器780係配置為相似於前述的加熱器180、280,除了一可重新定位(repositionable)的電感器700之外。屏蔽性蓋加熱器780包含複數個安裝孔洞702,其容許電感器700可以任何數目的位置固定。因此,電感器700沿著屏蔽性蓋加熱器780之位置可配合製程需求而藉由將電感器700緊固至不同組的安裝孔洞702而改變。
在一實施例中,電感器700可與屏蔽性蓋加熱器780為電性絕緣。在一實施例中,電感器700可經由接觸銷、安裝緊固件或其他合適的方式而電耦接至屏蔽性蓋加熱器780的傳導底座。
第8圖為在配備有屏蔽性蓋加熱器的處理室中電漿處理一基材的方法800之方塊流程圖。方法800藉由判定電漿在處理室中的位置(步驟802)而開始。電漿位置可藉由測量電漿的性質而判定,其係藉由光學方法,利用感測器、經驗數據、處理結果的檢視、模型化或其他合適的方式。在步驟804,選擇一耦接至蓋加熱器之電感器線圈的電感及/或位置,而此將會使得電漿位置由判定的位置移動至一目標材置。在步驟806,利用具有所選擇之電感及/或位置的電感器線圈,而在電漿存在下處理基材。在基材上進行的製程可選自由蝕刻、化學氣相沉積、物理氣相沉積、佈植、氮化、退火、電漿處理、及灰化等其他電漿製程所組成的組群中。
因此,提供一蓋加熱器以促進電漿在處理室中的定位。因為電漿可置於一更加期望的位置,而可實現更均一且可預見的處理需求。
雖然前述為有關本發明的實施例,本發明之其他及另外的實施例可在未偏離本發明之基本技術思想下完成,且其之範疇為由下列申請專利範圍決定。
100‧‧‧處理室
106‧‧‧電漿
110‧‧‧腔室主體
112‧‧‧天線
114‧‧‧底座組件
116‧‧‧基座組件
118‧‧‧功率源
120‧‧‧蓋
122‧‧‧電源
124‧‧‧第二匹配網路
128‧‧‧底部
130‧‧‧側壁
136‧‧‧噴嘴
138‧‧‧氣體分配盤
140‧‧‧控制器
142‧‧‧記憶體
144‧‧‧中央處理單元/CPU
146‧‧‧支援電路
148‧‧‧來源
150‧‧‧基材
162‧‧‧座組件
170‧‧‧第一匹配網路
178,190‧‧‧電源
180‧‧‧加熱器
182...來源
184A,184B...加熱器
186...鉗合電極
188...靜電吸座
202...傳導底座
204...加熱器元件
206...RF屏蔽
208...熱絕緣體
210...電絕緣體
212...電阻元件
220...蓋
280...加熱器
282...(傳導)底座
284...加熱器元件
286...通道
288...側壁
290...頂表面
300...環狀部件
302...第一部分
304...第二部分
308,318...指狀部件
310,312...橋接器
314...電感器線圈/電感器
316...導線
402...接點
404...螺孔
500...主體
502...孔洞
504...緊固件
506...銷
510...階梯
512...凸出部
600...主體
602,604...導線
700...電感器
702...安裝孔洞
780...加熱器
800...方法
802,804,806...步驟
為讓本發明之上述特徵更明顯易懂,可配合參考實施例說明,其部分乃繪示如附圖式。須注意的是,雖然所附圖式揭露本發明特定實施例,但其並非用以限定本發明之精神與範圍,任何熟習此技藝者,當可作各種之更動與潤飾而得等效實施例。
第1圖繪示一例示半導體基材處理設備的示意圖,其包含根據本發明之一實施例的屏蔽性蓋加熱器;第2A-B圖為一屏蔽性蓋加熱器之二實施例的示意剖面視圖;第3圖為繪示第1圖的屏蔽性蓋加熱器之一實施例的等角視圖;第4圖為繪示第1圖的屏蔽性蓋加熱器之一實施例的頂視圖;第5圖為繪示屏蔽性蓋加熱器之一實施例的部分前側視圖;第6圖繪示一屏蔽性蓋加熱器的一實施例之部分後側視圖; 第7圖繪示一屏蔽性蓋加熱器的另一實施例之部分側視圖;以及 第8圖為用於電漿處理一基材的方法之一實施例的流程圖。
為便於了解,圖式中相同的元件符號表示相同的元件。某一實施例採用的元件當不需特別詳述而可應用到其他實施例。
206...RF屏蔽
208...熱絕緣體
280...加熱器
282...(傳導)底座
300...環狀部件
302...第一部分
304...第二部分
308,318...指狀部件
310,312...橋接器
314...電感器線圈/電感器
316...導線

Claims (20)

  1. 一種屏蔽性(shielded)蓋加熱器,包含:一熱傳導底座,具有複數個往內延伸的指狀部件以形成一似輪輻圖樣;一加熱器元件,係設置於該熱傳導底座上;以及一RF屏蔽,係將該加熱器元件夾設在該RF屏蔽與該熱傳導底座之間。
  2. 一種屏蔽性蓋加熱器,包含:一熱傳導底座;一加熱器元件,係設置於該熱傳導底座上;一RF屏蔽,係將該加熱器元件夾設在該RF屏蔽與該熱傳導底座之間;以及一第一電感器線圈,係耦接至該熱傳導底座。
  3. 如申請專利範圍第1或2項所述之屏蔽性蓋加熱器,更包含:一熱絕緣體,係設置於該RF屏蔽上。
  4. 如申請專利範圍第1或2項所述之屏蔽性蓋加熱器,其中該RF屏蔽包括一鋁箔。
  5. 如申請專利範圍第1或2項所述之屏蔽性蓋加熱器, 其中該RF屏蔽包括一鋁板,且該鋁板係黏附至該加熱器元件。
  6. 如申請專利範圍第1或2項所述之屏蔽性蓋加熱器,其中該熱傳導底座包括:一通道,係容設該加熱器元件。
  7. 如申請專利範圍第1項所述之屏蔽性蓋加熱器,其中該加熱器元件包括:一第一加熱器電路,係設置於該熱傳導底座的一第一部分上;以及一第二加熱器電路,係設置於該熱傳導底座的一第二部分上,其中該第一及第二加熱器電路係藉由一連接器耦接,而該連接器係橋接該熱傳導底座的該第一及第二部分。
  8. 如申請專利範圍第7項所述之屏蔽性蓋加熱器,更包含:一電感器線圈,係耦接該熱傳導底座的該第一及第二部分。
  9. 如申請專利範圍第2項所述之屏蔽性蓋加熱器,其中該加熱器元件包括: 一第一加熱器電路,係設置於該熱傳導底座的一第一部分上;以及一第二加熱器電路,係設置於該熱傳導底座的一第二部分上,其中該第一及第二加熱器電路係藉由一連接器耦接,而該連接器係橋接該熱傳導底座的該第一及第二部分。
  10. 如申請專利範圍第9項所述之屏蔽性蓋加熱器,更包含:一第二電感器線圈,係耦接該熱傳導底座的該第一及第二部分。
  11. 如申請專利範圍第2項所述之屏蔽性蓋加熱器,其中該第一電感器線圈係沿著該熱傳導底座而可重新定位(repositionable)。
  12. 如申請專利範圍第2項所述之屏蔽性蓋加熱器,其中該第一電感器線圈為一可變(variable)電感器。
  13. 一種電漿處理室,包含:一腔室主體;一蓋,係圍繞出該腔室主體的一內部容積;一基材支撐件,係設置在該內部容積內: 線圈,係設置而鄰近該蓋,以耦接RF功率至在該腔室主體內的氣體;以及一屏蔽性蓋加熱器,係耦接至該蓋,其中該蓋加熱器更包括:一熱傳導底座,具有複數個往內延伸的指狀部件以形成一似輪輻圖樣;一加熱器元件;以及一RF屏蔽,係將該加熱器元件夾設在該RF屏蔽與該傳導底座之間。
  14. 如申請專利範圍第13項所述之電漿處理室,其中該屏蔽性蓋加熱器更包括:一電感器線圈,係耦接至該熱傳導底座。
  15. 如申請專利範圍第14項所述之電漿處理室,其中該電感器線圈係沿著該熱傳導底座而可重新定位。
  16. 如申請專利範圍第14項所述之電漿處理室,其中該電感器線圈為一可變電感器。
  17. 如申請專利範圍第13項所述之電漿處理室,其中該熱傳導底座包括:一通道,係容設該加熱器元件。
  18. 如申請專利範圍第13項所述之電漿處理室,其中該加熱器元件包括:一第一加熱器電路,係設置於該熱傳導底座的一第一部分上;以及一第二加熱器電路,係設置於該熱傳導底座的一第二部分上,其中該第一及第二加熱器電路係藉由一連接器耦接,且該連接器係橋接該熱傳導底座的該第一及第二部分。
  19. 一種電漿處理一處理室中的一基板的方法,包含:判定一電漿在一處理室中的一位置,該處理室具有一屏蔽性蓋加熱器,包含:一熱傳導底座,具有複數個往內延伸的指狀部件以形成一似輪輻圖樣;一加熱器元件,係設置於該熱傳導底座上;以及一RF屏蔽,係將該加熱器元件夾設在該RF屏蔽與該熱傳導底座之間;選擇一耦接至一蓋加熱器之一電感器線圈的一電感及/或位置,而此會使得一電漿位置由判定的該電漿位置移動至一目標電漿位置;以及利用具有所選擇之該電感及/或位置的該電感器線圈,以對一基材進行一電漿處理。
  20. 如申請專利範圍第19項所述之方法,其中該電漿處理包括在該基材上進行一製程,且該製程係係選自由蝕刻、化學氣相沉積、物理氣相沉積、佈植、氮化、退火、電漿處理、及灰化所組成的群組。
TW098109260A 2008-03-21 2009-03-20 屏蔽性蓋加熱器組件 TWI517761B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US3851008P 2008-03-21 2008-03-21

Publications (2)

Publication Number Publication Date
TW201004487A TW201004487A (en) 2010-01-16
TWI517761B true TWI517761B (zh) 2016-01-11

Family

ID=41087846

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098109260A TWI517761B (zh) 2008-03-21 2009-03-20 屏蔽性蓋加熱器組件

Country Status (5)

Country Link
US (3) US8419893B2 (zh)
KR (1) KR101554123B1 (zh)
CN (1) CN101978475B (zh)
TW (1) TWI517761B (zh)
WO (1) WO2009117612A2 (zh)

Families Citing this family (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011070721A1 (ja) * 2009-12-09 2011-06-16 パナソニック株式会社 高周波加熱装置及び高周波加熱方法
US8987678B2 (en) * 2009-12-30 2015-03-24 Fei Company Encapsulation of electrodes in solid media
US8436318B2 (en) * 2010-04-05 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus for controlling the temperature of an RF ion source window
US10595365B2 (en) * 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
US8920599B2 (en) 2010-10-19 2014-12-30 Applied Materials, Inc. High efficiency gas dissociation in inductively coupled plasma reactor with improved uniformity
US20130008602A1 (en) * 2011-07-07 2013-01-10 Lam Research Ag Apparatus for treating a wafer-shaped article
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9948214B2 (en) * 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6702640B2 (ja) 2013-06-17 2020-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマリアクタ用の強化されたプラズマ源
CN104717817A (zh) * 2013-12-12 2015-06-17 中微半导体设备(上海)有限公司 一种用于电感耦合型等离子处理器射频窗口的加热装置
US9945033B2 (en) * 2014-01-06 2018-04-17 Applied Materials, Inc. High efficiency inductively coupled plasma source with customized RF shield for plasma profile control
US10249475B2 (en) 2014-04-01 2019-04-02 Applied Materials, Inc. Cooling mechanism utlized in a plasma reactor with enhanced temperature regulation
JP6219227B2 (ja) 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
US9986598B2 (en) * 2014-07-02 2018-05-29 Applied Materials, Inc. Temperature control apparatus including groove-routed optical fiber heating, substrate temperature control systems, electronic device processing systems, and processing methods
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105722261B (zh) * 2014-12-03 2018-10-30 中微半导体设备(上海)有限公司 一种icp刻蚀器件中的加热组件及加热组件设置方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10032604B2 (en) 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11031252B2 (en) * 2016-11-30 2021-06-08 Taiwan Semiconductor Manufacturing Compant, Ltd. Heat shield for chamber door and devices manufactured using same
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
TWI791558B (zh) * 2017-07-27 2023-02-11 美商應用材料股份有限公司 用於半導體基板處理室的溫度控制的方法、非暫時性機器可讀儲存媒體以及系統
US10636630B2 (en) * 2017-07-27 2020-04-28 Applied Materials, Inc. Processing chamber and method with thermal control
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11521828B2 (en) * 2017-10-09 2022-12-06 Applied Materials, Inc. Inductively coupled plasma source
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US20210375586A1 (en) * 2018-04-10 2021-12-02 Applied Materials, Inc. An advanced ceramic lid with embedded heater elements and embedded rf coil for hdp cvd and inductively coupled plasma treatment chambers
CN110519905B (zh) * 2018-05-21 2022-07-22 北京北方华创微电子装备有限公司 温控装置和等离子设备
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) * 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11264252B2 (en) * 2018-10-12 2022-03-01 Applied Materials, Inc. Chamber lid with integrated heater
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021042409A (ja) * 2019-09-09 2021-03-18 東京エレクトロン株式会社 プラズマ処理装置及び温度制御方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113921360B (zh) * 2020-07-10 2023-10-31 中微半导体设备(上海)股份有限公司 等离子体处理装置中的加热装置及抗射频干扰方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5619103A (en) * 1993-11-02 1997-04-08 Wisconsin Alumni Research Foundation Inductively coupled plasma generating devices
US6177646B1 (en) * 1997-03-17 2001-01-23 Matsushita Electric Industrial Co, Ltd. Method and device for plasma treatment
US6149760A (en) * 1997-10-20 2000-11-21 Tokyo Electron Yamanashi Limited Plasma processing apparatus
JP2001526459A (ja) * 1997-12-05 2001-12-18 ティーガル コーポレイション 堆積シールドを具備するプラズマ反応炉
EP1252800A1 (de) * 2000-02-01 2002-10-30 E.G.O. ELEKTRO-GERÄTEBAU GmbH Elektrisches heizelement und verfahren zu seiner herstellung
EP1330839A2 (en) * 2000-11-01 2003-07-30 Applied Materials, Inc. Etching of high aspect ratio features in a substrate
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7354501B2 (en) * 2002-05-17 2008-04-08 Applied Materials, Inc. Upper chamber for high density plasma CVD
KR20050116230A (ko) * 2004-06-07 2005-12-12 엘지.필립스 엘시디 주식회사 플라즈마 강화 화학기상증착 장치
US7776156B2 (en) * 2005-02-10 2010-08-17 Applied Materials, Inc. Side RF coil and side heater for plasma processing apparatus
JP4903610B2 (ja) * 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
CN101978475A (zh) 2011-02-16
WO2009117612A2 (en) 2009-09-24
US20160254123A1 (en) 2016-09-01
TW201004487A (en) 2010-01-16
WO2009117612A3 (en) 2009-12-10
KR20110000658A (ko) 2011-01-04
CN101978475B (zh) 2013-09-25
US20090236315A1 (en) 2009-09-24
KR101554123B1 (ko) 2015-09-18
US20130189848A1 (en) 2013-07-25
US9362148B2 (en) 2016-06-07
US8419893B2 (en) 2013-04-16
US10083816B2 (en) 2018-09-25

Similar Documents

Publication Publication Date Title
TWI517761B (zh) 屏蔽性蓋加熱器組件
JP6207648B2 (ja) チャンバー蓋ヒーターリングアセンブリ
KR100728312B1 (ko) 정전 흡착장치와 웨이퍼 처리장치 및 플라즈마 처리방법
JP3129419U (ja) 基板の温度を制御する装置
US10741368B2 (en) Plasma processing apparatus
WO2011075437A2 (en) Multifunctional heater/chiller pedestal for wide range wafer temperature control
KR20210030995A (ko) 저항 열 측정들을 통해 샤워헤드 가열 제어
CN107426837B (zh) 层压加热器与加热器电压输入之间的连接
KR20200075012A (ko) 플라즈마 가열된 윈도우의 멀티-존 (multi-zone) 냉각
CN110226222B (zh) 具有射频隔离式加热器的静电吸盘
TW202141681A (zh) 載置台及基板處理裝置
US20220238360A1 (en) Rf immune sensor probe for monitoring a temperature of an electrostatic chuck of a substrate processing system
US11264252B2 (en) Chamber lid with integrated heater
KR102452722B1 (ko) 기판 처리 장치
CN115472524A (zh) 半导体制程系统、处理方法及电浆蚀刻系统