JP5258981B2 - 基板保持具及び基板搬送装置及び基板処理装置 - Google Patents

基板保持具及び基板搬送装置及び基板処理装置 Download PDF

Info

Publication number
JP5258981B2
JP5258981B2 JP2011552694A JP2011552694A JP5258981B2 JP 5258981 B2 JP5258981 B2 JP 5258981B2 JP 2011552694 A JP2011552694 A JP 2011552694A JP 2011552694 A JP2011552694 A JP 2011552694A JP 5258981 B2 JP5258981 B2 JP 5258981B2
Authority
JP
Japan
Prior art keywords
substrate
semiconductor wafer
protrusions
substrate holder
holds
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011552694A
Other languages
English (en)
Other versions
JPWO2011096208A1 (ja
Inventor
勤 廣木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2011552694A priority Critical patent/JP5258981B2/ja
Publication of JPWO2011096208A1 publication Critical patent/JPWO2011096208A1/ja
Application granted granted Critical
Publication of JP5258981B2 publication Critical patent/JP5258981B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/04Arrangements of vacuum systems or suction cups
    • B65G2249/045Details of suction cups suction cups

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Description

本発明は、被処理基板を搬送アーム上で保持するための基板保持具、およびこの基板保持具を用いる枚葉式の基板搬送装置ならびに基板処理装置に関する。
今日、半導体デバイスやFPD(Flat Panel Display)の製造ラインでは、被処理基板(半導体ウエハ、ガラス基板等)を1枚ずつ処理する枚葉式の形態を採る工程がある。特に、最近は、プロセスの一貫化、連結化あるいは複合化をはかるために、搬送系の搬送路に沿って、またはその周りに多数台の処理ユニットを集約配置するマルチ枚葉式のインライン処理システムが増えている。
このような枚葉式の処理ユニット用あるいはマルチ枚葉式のインライン処理システム用の搬送系には、基板を一枚単位で保持し、各処理ユニットに対して基板を一枚単位で搬入または搬出する枚葉式の基板搬送装置が使われている。通常、この種の基板搬送装置は、搬送ロボットして構成され、基板を一枚単位で仰向けに載せて、旋回運動、昇降移動および進退移動を行える1本または数本の搬送アームとを備えている。
このような基板搬送装置の搬送アームには、搬送中に基板が位置ずれしたり落ちたりしないように仰向け姿勢の基板をアーム上で保持する基板保持部(保持具または保持機構)が備わっている。従来のこの種の基板保持部には、裏面摩擦方式、テーパパッド方式、落とし込み方式、真空吸着方式が用いられている。
裏面摩擦方式は、搬送アームの上面または載置面に複数個の板片状またはブロック状の保持パッドを離散的に取り付ける。そして、基板をこれらの保持パッドの上に仰向けに載せて、基板の裏面とパッド表面との間の摩擦力で基板を保持する(たとえば特許文献1の図8およびその説明文参照)。斯様な保持パッドの材質には、エラストマー、セラミック等が用いられている。
テーパパッド方式は、テーパ側面を有する(縦断面が台形状の)保持パッドを基板を囲うように所定の間隔を置いて複数個離散的に搬送アームの載置面に取り付ける。そして、それらの保持パッドのテーパ側面に基板の周縁部が係止するようにして、基板を仰向けに保持する(たとえば特許文献1の図4およびその説明文参照)。この方式では、搬送アームの載置面の上で、基板が保持パッドの頂面よりも高い位置からテーパ側面に沿って落とし込まれ、基板の重力と保持パッドからの反作用とが均衡するテーパ側面の途中の位置で基板が線接触で保持される。
落とし込み方式は、搬送アームのピック部を基板の輪郭形状に倣ってそれよりも少し大き目のフォークに形成し、基板を囲うように所定の間隔を置いて内側に突出して延びる複数個の爪部をフォークに取り付ける。そして、これら爪部の内側面に形成された傾斜面に沿って基板を爪部の底面(載置面)まで落とし込ませ、爪部の底面にて基板を面接触で仰向けに保持する(たとえば特許文献2の図4およびその説明文参照)。
真空吸着方式は、搬送アームの載置面に複数個の吸着口を設け、これらの吸着口の上に基板の裏面を載せ、該吸着口に空気通路を介して接続されている真空吸引装置に真空引きを行わせることにより、基板を吸着口にて搬送アームに吸着固定する(たとえば特許文献2の図3およびその説明文参照)。
特開2000−3951 特開2002−64057
半導体デバイスやFPDの製造ラインにおいて、基板搬送技術は生産効率を高める上で重要であり、基板搬送装置の搬送速度は日進月歩で向上している。特に、マルチ枚葉式のインライン処理システムに使用される枚葉式の基板搬送装置にあっては、基板を保持する搬送アームの動作がスライド移動や昇降移動だけでなく旋回運動もからめて高速化している。一方で、基板の大型化によって、搬送アームの先端部が基板の重力で前のめりに傾きやすくなっている。
このような技術的背景の下で、搬送アーム上で仰向け姿勢の基板を保持するための基板保持部の性能ないし機能性が、基板搬送装置の搬送能力ひいては基板処理装置または処理システムのスループットを左右する重要な要素技術になってきている。
その点、上記のような裏面摩擦方式は、基板の裏面とパッド表面との間の摩擦力のみで基板を保持するため、本質的に基板保持力が弱く、基板がすべって搬送アームから脱落しやすい。さらに、基板の裏面の状態によって摩擦係数つまり保持力が左右されることや、基板が反っているときの保持が難しいことなどの弱点がある。
一方、テーパパッド方式や落とし込み方式は、搬送アーム上に基板を載せるときの位置がずれると、基板がパッドまたは爪部の上に乗り上げて斜めに傾きやすい。搬送アーム上で基板が斜めに傾くと、基板が脱落しやすくなるだけでなく、たとえ脱落しなくても、搬送アーム上の基板の位置を光学センサで検出するのが難しくなり、結果として、当該搬送アームから他の基板支持部(たとえば処理ユニット内の基板載置台)へ基板を移載する際の位置合わせが難しくなる。
また、テーパパッド方式は、保持パッドのテーパ側面と基板との間に適度な摩擦係数を必要とし、保持パッドの材質にはエラストマーをよく使っている。もっとも、CVD(Chemical Vapor Deposition)等の高温の処理を受ける基板の搬送には、エラストマーの耐熱温度が低いため(約300℃)、保持パッドの材質にセラミックが用いられる。しかし、裏面摩擦方式でも当てはまることであるが、接触タイプの保持パッドがセラミック製の場合は、基板がすべりやすく、安定した保持が困難になる。
真空吸着方式は、基板保持力の面では全く問題ないが、搬送アームの構造ないし基板搬送装置の設備を煩雑化・高価格化させることが実用上の大きな不利点となっており、減圧下で動作する真空搬送装置では機能し得ないという使用上の制限もある。また、パーティクルが付着しやすいという問題もある。
本発明は、上記のような従来技術の問題点を解決するものであり、搬送アーム等の搬送体に特別な細工を要することなく簡単かつ安価に取付可能であり、基板の裏面状態や反りにも影響されず、搬送体の載置面上で基板の位置が多少ずれても基板を正しい姿勢で安定に保持できる基板保持具、およびこの基板保持具を用いる枚葉式の基板搬送装置ならびに基板処理装置を提供する。
本発明の第1の観点における基板保持具は、被処理基板を搬送する搬送体の載置面に取り付けられ、前記基板をその基板周縁部に接触して保持する基板保持具であって、前記搬送体の載置面に固定される板状またはブロック状のパッド本体と、前記パッド本体から同じ方向に延びる弾性的に変形可能な複数の突起部とを有し、各々の前記突起部が、前記基板の下に隠れる場合は、前記基板の重みで弾性変形して前記基板の重力に抗し、各々の前記突起部が、前記基板の外側で前記基板の側面に接触する場合は、少しだけ弾性変形して、または殆ど弾性変形しないで、その接触による押圧力に抗し、前記パッド本体上で、前記複数の突起部の一部が前記基板の裏面を保持するとともに、前記複数の突起部の他の一部が前記基板の側面を保持する。
本発明の第2の観点における基板保持具は、被処理基板を仰向けに載せて搬送する搬送体の載置面に取り付けられ、前記基板をその基板周縁部に接触して保持する基板保持具であって、前記搬送体の載置面に固定される板状またはブロック状のパッド本体と、前記パッド本体の上面から上方へ延びる弾性的に変形可能な複数の突起部とを有し、各々の前記突起部が、前記基板の下に隠れる場合は、前記基板の重みで縦方向に弾性変形して前記基板の重力に抗し、各々の前記突起部が、前記基板の外側で前記基板の側面に接触する場合は、横方向に少しだけ弾性変形して、または殆ど弾性変形しないで、その接触による押圧力に抗し、前記パッド本体上で、前記複数の突起部の一部が前記基板の裏面に接触して主に前記基板の板面と垂直な方向で前記基板を保持するとともに、前記複数の突起部の他の一部が前記基板の側面に接触して主に前記基板の板面と平行な方向で前記基板を保持する。
本発明の第3の観点における基板保持具は、被処理基板を搬送する搬送体の載置面に取り付けられ、前記基板をその基板周縁部に接触して保持する基板保持具であって、前記搬送体の載置面に固定される板状またはブロック状のパッド本体と、前記パッド本体から延びる弾性的に変形可能な複数の突起部とを有し、前記複数の突起部の一部が前記基板の裏面を保持し、前記複数の突起部の他の一部が前記基板の側面を保持し、前記突起部は、バネ部材を有する。
本発明の第4の観点における基板保持具は、被処理基板を仰向けに載せて搬送する搬送体の載置面に取り付けられ、前記基板をその基板周縁部に接触して保持する基板保持具であって、前記搬送体の載置面に固定される板状またはブロック状のパッド本体と、前記パッド本体の上面から上方に延びる弾性的に変形可能な複数の突起部とを有し、前記複数の突起部の一部が、前記基板の裏面に接触して主に前記基板の板面と垂直な方向で前記基板を保持し、前記複数の突起部の他の一部が、前記基板の側面に接触して主に前記基板の板面と平行な方向で前記基板を保持し、前記突起部は、バネ部材を有する。
本発明の第2の観点における基板保持具は、被処理基板を仰向けに載せて搬送する搬送体の載置面に取り付けられ、前記基板をその基板周縁部に接触して保持する基板保持具であって、前記搬送体の載置面に固定される板状またはブロック状のパッド本体と、前記パッド本体の上面から上方に延びる弾性的に変形可能な複数の突起部とを有し、前記複数の突起部の一部が、前記基板の裏面に接触して主に前記基板の板面と垂直な方向で前記基板を保持し、前記複数の突起部の他の一部が、前記基板の側面に接触して主に前記基板の板面と平行な方向で前記基板を保持する。
本発明の基板保持具においては、搬送体の載置面上で被処理基板の周縁部を保持するに際して、基板の下に隠れる突起部は基板の裏面を保持し、基板の周縁部の近くで露出している突起部の幾つかは基板の側面を保持する。突起部の形状、サイズ、配置密度、弾性力等をパラメータとすることによって、縦方向および横方向の各々で基板保持力を任意に調整することができる。
本発明の基板搬送装置は、被処理基板を搬送するための基板搬送装置であって、大気圧下または減圧下の室内で移動できるように構成された搬送ベース部と、前記搬送ベース部に搭載され、前記基板を載せて支持できるように構成された搬送アームと、前記搬送ベース部上で前記搬送アームを所定の方向に移動させるためのアーム駆動部と、前記基板を保持するために前記搬送アームに取り付けられ、本発明の基板保持具を含む基板保持部とを有する。
本発明の基板処理装置は、大気圧下または減圧下で被処理基板に所定の処理を施すための枚葉式の処理ユニットと、本発明の基板保持具を取り付けた搬送アームを有し、前記搬送アームに前記基板を載せて、前記基板を搬送し、前記処理ユニットに前記基板を搬入し、または前記処理ユニットから前記基板を搬出する基板搬送機構とを有する。
本発明の基板保持具は、上記のような構成および作用により、搬送アーム等の搬送体に特別な細工を要することなく簡単かつ安価に取付可能であり、基板の裏面状態や反りにも影響されず、搬送体の載置面上で基板の位置が多少ずれても基板を正しい姿勢で安定に保持することができる。
本発明の基板搬送装置は、本発明の基板保持具を用いることにより、搬送アームで基板を保持して搬送する際のアーム姿勢、アーム移動軌跡、搬送速度を基板保持部の制限を受けることなく任意かつ自由に選択することが可能であり、搬送能力を向上させることができる。
本発明の基板処理装置は、本発明の基板保持具を用いることにより、搬送効率を改善し、スループットを向上させることができる。
本発明の一実施形態における基板処理装置の構成を示す平面図である。 上記基板処理装置で使用される基板搬送装置の搬送アームの要部の外観構成を示す斜視図である。 第1の実施例における基板保持具の外観構成を模式的に示す斜視図である。 上記基板保持具の一作用を模式的に示す側面図である。 上記基板保持具の一作用を模式的に示す側面図である。 上記基板保持具の一作用を模式的に示す側面図である。 第1の実施例の一変形例における基板保持具の外観構成を模式的に示す斜視図である。 第2の実施例における基板保持具の外観構成を模式的に示す平面図である。 上記基板保持具の突起部の構成を示す斜視図である。 上記基板保持具の構成を模式的に示す一部断面側面図である。 上記基板保持具の一作用を模式的に示す側面図である。 上記基板保持具の一作用を模式的に示す側面図である。 第2の実施例の一変形例における基板保持具の構成を示す図(側面図および部分拡大断面図)である。 第2の実施例の第2の変形例における基板保持具の外観構成を模式的に示す平面図である。 上記第2の変形例における基板保持具の外観構成を拡大して示す平面図である。 上記第2の変形例における基板保持具の構成を示す図(側面図および部分拡大断面図)である。 FPD用の矩形基板を搬送するための搬送アームに実施形態の基板保持具を取り付けた例を示す平面図である。
以下、添付図を参照して本発明の好適な実施形態について説明する。

[実施形態の基板搬送装置及び基板処理装置]
図1および図2に、本発明の一実施形態に係る基板処理装置の構成を示す。この基板処理装置は、マルチ枚葉式インライン処理システムの一種であるクラスタツール方式の真空処理装置として構成されている。
このクラスタツール方式の真空処理装置は、クリーンルーム内に設置され、装置奥行き方向に延びる一対の辺が他の辺よりも約2倍長い六角形の形状を有する真空のプラットホーム(真空搬送室)PHの周りに6つの真空プロセス・チャンバ(真空処理室)PC,PC,PC,PC,PC,PCと2つのロードロック・チャンバ(ロードロック室)LLC,LLCとをクラスタ状に配置している。
より詳細には、プラットホームPHには、図の時計回りの順序で、第1の長辺に2台のプロセス・チャンバPC,PCがゲートバルブGV,GVを介して連結され、第1および第2の短辺にプロセス・チャンバPC,PCがゲートバルブGV,GVを介してそれぞれ1台ずつ連結され、第2の長辺に2台のプロセス・チャンバPC,PCがゲートバルブGV,GVを介して連結され、第3および第4の短辺にロードロック・チャンバLLC,LLCがゲートバルブGV,GVを介してそれぞれ1台ずつ連結されている。
各々のプロセス・チャンバPC〜PCは、専用の真空排気装置(図示せず)に接続されており、室内が可変の圧力で常時減圧状態に保たれる。典型的には、室内の中央部に配置した載置台10の上に被処理体たとえば半導体ウエハWを載せ、所定の用力(処理ガス、高周波等)を用いて所要の枚葉処理、たとえばCVD、ALD(Atomic Layer Deposition)あるいはスパッタ等の真空成膜処理、熱処理、半導体ウエハ表面のクリーニング処理、ドライエッチング加工等を行うようになっている。
プラットホームPHは、専用の真空排気装置(図示せず)に接続されており、室内が通常は一定の圧力で常時減圧状態に保たれる。室内には、伸縮可能な一対の搬送アーム12,14を有し、スライド動作、旋回動作および昇降動作の可能な枚葉式の真空搬送ロボット(基板搬送装置)16が設けられている。
ロードロック・チャンバLLC,LLCは、それぞれ開閉弁を介して専用の真空排気装置(図示せず)に接続されており、室内を大気圧状態および真空状態のいずれにも随時切り換えられるようになっている。プラットホームPHから見て反対側でロードロック・チャンバLLC,LLCはそれぞれゲートバルブGV,GVを介して大気圧下のローダ搬送室LMに連結されている。ロードロック・チャンバLLC,LLCの室内の中央部には留置中の半導体ウエハWを載せる受渡台18が配置されている。
ローダ搬送室LMと隣接してロードポートLPおよび位置合わせ機構ORTが設けられている。ロードポートLPは、外部搬送車との間でたとえば1バッチ25枚の半導体ウエハWを収納可能なウエハカセットCRの投入、払出しに用いられる。ここで、ウエハカセットCRはFOUP(Front open unified pod)やSMIF(Standard Mechanical Interface)ボックスなどとして構成されている。位置合わせ機構ORTは、半導体ウエハWのノッチまたはオリフラを所定の位置または向きに合わせるために用いられる。
ローダ搬送室LM内に設けられている枚葉式の大気搬送ロボット(基板搬送装置)20は、上下二段重ねの伸縮可能な一対の搬送アーム22,24を有し、リニアモータ26のリニアガイド28上で水平方向に移動可能であるとともに、昇降・旋回可能であり、ロードポートLP、オリフラ合わせ機構ORTおよびロードロック・チャンバLLM,LLMの間を行き来して半導体ウエハWを枚葉単位(あるいはバッチ単位)で搬送する。この大気搬送ロボット20は、それぞれのウエハカセットCR前面に設けられているLPドア25の開状態において半導体ウエハWをローダ搬送室LM内に搬入する。リニアガイド28は、たとえば永久磁石からなるマグネット、駆動用磁気コイルおよびスケールヘッド等で構成され、制御部30からのコマンドに応じて大気搬送ロボット20の直線運動制御を行う。
ここで、ロードポートLPに投入されたウエハカセットCR内の1枚のウエハにこのクラスタツール内で一連の処理を受けさせるための基本的なウエハ搬送シーケンスを説明する。
ローダ搬送室LM内の大気搬送ロボット20は、ロードポートLP上のウエハカセットCRから1枚の半導体ウエハWを取り出し、この半導体ウエハWを位置合わせ機構ORTに搬送して位置合わせを受けさせ、それが済んだ後にロードロック・チャンバLLM,LLMのいずれか一方(たとえばLLM)に移送する。移送先のロードロック・チャンバLLMは、大気圧状態で半導体ウエハWを受け取り、搬入後に室内を真空引きし、減圧状態で半導ウエハWをプラットホームPHの真空搬送ロボット16に渡す。
真空搬送ロボット16は、搬送アーム12,14の片方を用いて、ロードロック・チャンバLLMより取り出した半導体ウエハWを1番目のプロセス・チャンバ(たとえばPM)に搬入する。プロセス・チャンバPM内では、予め設定されたレシピにしたがい所定のプロセス条件(ガス、圧力、電力、時間等)で第1工程の枚葉処理が行われる。
この第1工程の枚葉処理が終了した後に、真空搬送ロボット16は、半導体ウエハWをプロセス・チャンバPMから搬出し、その搬出した半導体ウエハWを次に2番目のプロセス・チャンバ(たとえばPM)に搬入する。この2番目のプロセス・チャンバPMでも、予め設定されたレシピにしたがい所定のプロセス条件で第2工程の枚葉処理が行われる。
この第2工程の枚葉処理が終了すると、真空搬送ロボット16は、半導体ウエハWを2番目のプロセス・チャンバPMから搬出し、その搬出した半導体ウエハWを、次工程があるときは3番目のプロセス・チャンバ(たとえばPM)に搬入し、次工程がないときはロードロック・チャンバLLM,LLMの片方に搬送する。3番目以降のプロセス・チャンバ(たとえばPM)で処理が行われた場合も、その後に次工程があるときは後段のプロセス・チャンバ(たとえばPM)に搬入し、次工程がないときはロードロック・チャンバLLM,LLMの片方に戻す。
なお、プラットホームPHの真空搬送ロボット16は、その周囲の各プロセス・チャンバPM〜PMまたは各ロードロック・チャンバLLM,LLMに対する1回のアクセスで、一対の搬送アーム12,14を交互に使用し、最初に当該モジュールから半導体ウエハWを搬出し、次いでそれと入れ替わりに当該モジュールに別の半導体ウエハWを搬入するピック&プレース動作を行えるようになっている。
上記のようにしてクラスタツール内の複数のプロセス・チャンバPM,PM・・で一連の処理を受けた半導体ウエハWがロードロック・チャンバの片方(たとえばLLM)に搬入されると、このロードロック・チャンバLLMの室内は減圧状態から大気圧状態に切り替えられる。しかる後、ローダ搬送室LM内の大気搬送ロボット20が、大気圧状態のロードロック・チャンバLLMから半導体ウエハWを取り出して該当のウエハカセットCRに戻す。なお、ロードロック・チャンバLLM,LLMにおいて滞在中の半導体ウエハWに所望の雰囲気下で加熱または冷却処理を施すこともできる。
上記のように、このクラスタツール方式の真空処理装置は、減圧下のプラットホームPHを介して一つの半導体ウエハWを複数のプロセス・チャンバに順次転送することで、当該半導体ウエハWに一連の真空処理をインラインで連続的に施すことが可能であり、特に真空薄膜形成加工では複数のプロセス・チャンバで異なる成膜加工を連続的に行って所望の薄膜をインラインで積層形成することができる。
このクラスタツール方式の真空処理装置において、プラットホームPH内には、その長手方向に一対のガイドレール32とボールねじ機構34の送りねじ36が平行に敷設されており、真空搬送ロボット16はボールねじ機構34の直進駆動によってガイドレール32上をスライド移動できるようになっている。ボールねじ機構34において、送りねじ36の一端はモータ38に結合されている。
真空搬送ロボット16は、スライド動作を行う搬送ベース部40と、搬送アーム12,14のピック部12a,14aを旋回半径と平行な方向で往復直進移動または進退移動させるアーム伸縮駆動部42とを有している。アーム伸縮駆動部42は、水平多関節ロボットからなる搬送アーム12,14を伸縮運動させて、上記のような半導体ウエハWの搬入出またはピック&プレース動作を行う。アーム伸縮駆動部42、ベース部40内の旋回駆動部、昇降駆動部、およびボールねじ機構34(モータ38)の各動作は制御部30によって制御される。
以下、このクラスタツール方式の真空処理装置において、真空搬送ロボット16の搬送アーム12,14に適用した本発明の実施形態による基板保持具について説明する。
真空搬送ロボット16の各搬送アーム12,14は、半導体ウエハWを仰向けに載せた状態で旋回運動、昇降移動あるいは進退移動を任意かつ高速に行えるように、半導体ウエハWを安定に保持するための本発明の基板保持具(図1では不図示)を取り付けている。
具体的には、図2に示すように、搬送アーム12(14)のフォーク状のピック部12a(14a)の上面または載置面には、複数個(図示の例は4個)の本発明による基板保持具50が適当な間隔を置いて半導体ウエハWの周縁部を保持するように離散的に所定の箇所つまりフォーク状のピック部12a,14aの基端部および先端部に取り付けられている。
この真空搬送ロボット16は、各搬送アーム12,14に後述する本発明の基板保持具50を取り付けることで、各搬送アーム12,14で半導体ウエハWを保持して搬送する際のアーム姿勢、アーム移動軌跡、搬送速度を基板保持部の制限を受けることなく任意かつ自由に選択することが可能であり、搬送能力を向上させることができる。
また、プラットホームPHで稼働する真空搬送ロボット16の搬送能力が向上することによって、上記クラスタツール方式の真空処理装置におけるシステム全体のスループットが向上する。

[基板保持具に関する実施例1]
図3に、本発明の第1の実施例における基板保持具50の外観構成を示す。図4〜図6に、この基板保持具50の作用を示す。
この実施例における基板保持具50は、搬送アーム12(14)の載置面にネジ止めまたは接着等で固定されるパッド本体52と、このパッド本体52の上面に一定のサイズおよび密度で設けられている複数(好ましくは多数または無数)の芝状(特に直毛タイプの人工芝に似た)突起部54とを有している。
図2および図3に示すように、基板保持具50は、半導体ウエハWの周縁部を保持する際に、パッド本体52上の芝状突起部54の一部が半導体ウエハWの下に隠れ、残りの芝状突起部54は半導体ウエハWの外で露出するように、搬送アーム12(14)の所定の箇所に配設されている。
基板保持具50のパッド本体52は、板片状(たとえば円板状)またはブロック状(たとえば円柱状)に形成されている。パッド本体52の上面は、搬送アーム12(14)の載置面に平行になっているのが好ましい。パッド本体52の材質は、金属やセラミックも使用可能であるが、テフロン(登録商標)やPEEK(商標名)等の樹脂を好適に用いることができる。
基板保持具50の芝状突起部54は、パッド本体52の上面に固定される基部54aと、この基部54aから斜め上方に延びて、外力あるいは外部エネルギー(半導体ウエハWからの重力、押圧力、熱エネルギー等)に応じて弾性的に変形または変位する接触部または自由端部54bとを有している。
突起部54の基部54aをパッド本体52に固定する構造として、たとえば図4に示すように、基部54aを芝の根茎のようにパッド本体52に埋め込んで植設する形態を好適に採ることができる。あるいは、突起部54をパッド本体52と一体成型する形態も可能である。
突起部54の接触部54bは、図4に示すように、芝草の葉のように細長くて先端部がテーパ形状になっているのが好ましく、半導体ウエハWと接触しないときはその先端部が搬送アーム12(14)の載置面と直交する方向に対して斜めに(好ましくは30°〜60°の角度で)傾いているのが好ましく、半導体ウエハWと接触しているときにその先端部が当該半導体ウエハWの輪郭の内側を向いているのが好ましい。
また、突起部54は、外力に対して個々独立に弾性変形するようになっており、適度な剛性と弾力率を有しているのが好ましい。特に、突起部54の弾性機能として、図5の(a)に示すように上からの垂直方向の力Fに対しては撓みやすい(弾性率が小さい)一方で、図5の(b)に示すように横からの水平方向の力Fに対しては撓みにくい(弾性率が大きい)特性が望ましい。例えば、垂直方向の力Fに対して撓みやすくするには、先端部を細く、水平方向の力Fに対して撓みにくくするには、基部を太くすればよい。
突起部54の材質としては、その素材自体が任意の方向で弾性変形可能なゴム状弾性体が好ましく、耐熱性および耐薬品性に優れたフッ素ゴムが特に好ましい。あるいは、形状次第で所定の方向に弾性変形可能な樹脂たとえばテフロン(登録商標)やPEEK等も好適に使用できる。
この実施例の基板保持具50は、上記のような突起部54の弾性機能により、搬送アーム12(14)上で半導体ウエハWがその周縁部にて当該基板保持具50の上に載ると、図4に示すように、芝状突起部54の上で半導体ウエハWが程よい深さに沈むようになっている。この沈み込み量Dは、半導体ウエハWの厚みTよりは小さく、その半分程度(D=0.4〜0.6T)が最も好ましい。なお、たとえば300mm口径の半導体ウエハWにおいては、厚みT=0.8mmである。半導体ウエハWの反りや沈み込み不足を考慮すると、沈み込み量の上限値は、半導体ウエハWの厚み程度となる。
ここで、パッド本体52上の芝状突起部54のうち、半導体ウエハWの下に隠れた突起部54は、各々の接触部54bが半導体ウエハWの裏面W B に接触して、図5の(a)のようにその接触部54bが下に撓んで半導体ウエハWからの縦方向の力(重力)に抗し、主に縦方向つまり半導体ウエハWの板面(または搬送アーム12,14の載置面)と垂直な方向で半導体ウエハWを保持する。また、半導体ウエハWの裏面W B と接触する突起部54の本数は比較的多くて接触面積が大きいので、接触摩擦による横方向の保持力もある程度得られる。
パッド本体52上の芝状突起部54のうち、半導体ウエハWの周縁部の近くで露出している突起部54の幾つかは半導体ウエハWの側面Wに接触して、図5の(b)のようにその接触部54bが横に少し後退するように弾性変形して半導体ウエハWからの横向きの力(押圧力)に抗し、主に半導体ウエハWの板面と平行な方向で半導体ウエハWを保持する。なお、半導体ウエハWの側面Wと接触する突起部54の本数は比較的少なくて接触面積が小さいため、縦方向の接触摩擦または抗力は小さい。
また、一般に半導体ウエハWの周縁部は丸め加工を施されており、図4に示すように、芝状突起部54の中にはこの丸め部分の斜面Wに接触するものもある。このように半導体ウエハWの周縁丸め斜面Wに接触する芝状突起部54は、半導体ウエハWの裏面Wに接触する突起部54と半導体ウエハWの側面Wに接触する突起部54との間で中間に位置し、かつ中間の弾性変形姿勢をとり、半導体ウエハWの板面と垂直な方向および平行な方向で半導体ウエハWを保持する。この意味で、半導体ウエハWの周縁丸め斜面Wは、ウエハ側面およびウエハ裏面双方の面を持ち合わせている。
図6に示すように、半導体ウエハWが他へ移載されるために搬送アーム12(14)から相対的に上方へ分離すると、それまで半導体ウエハWとの接触で弾性的に変形していた突起部54は、弾性復元力によって元(無負荷時)の状態または原姿勢に戻るようになっている。
上記のように、この実施例の基板保持具50は、搬送アーム12(14)の載置面に固定されるパッド本体52と、このパッド本52の上面に設けられている多数の芝状突起部54とを有し、半導体ウエハWの周縁部を保持するに際して、パッド本体52上の芝状突起部54の一部が半導体ウエハWの下に隠れ、残りは半導体体ウエハWの外で露出する。そして、半導体ウエハWの下に隠れた突起部54は、半導体ウエハWの裏面Wに接触して、比較的小さな弾性率で比較的大きく下方に変形または変位し、半導体ウエハWを重力で程よい深さに沈ませ、主に縦の方向で半導体ウエハWを保持する。また、半導体ウエハWの周縁部の近くで露出している突起部54の幾つかは半導体ウエハWの側面Wに接触して、大きな弾性率で横方向に少しだけ変形し、主に横の方向で半導体ウエハWを保持する。さらに、半導体ウエハWの側面Wに直接接触しない突起部54の中には、半導体ウエハWの側面Wに接触する突起部54を背後で支えるものもあり、その数は決して少なくはない。芝状突起部54の太さ、高さ、形状、配置密度、弾性力、半導体ウエハWの重量等をパラメータとすることによって、縦方向および横方向の各々でウエハ保持力を任意に調整することができる。
この実施例の基板保持具50は、上記のような構成および作用により、搬送アーム12(14)上で仰向け姿勢の半導体ウエハWを安定確実に保持することができる。
すなわち、基板保持具50は、半導体ウエハWの下に隠れる突起部54を下向きに弾性変位させて半導体ウエハWを水平姿勢のまま沈ませるので、搬送アーム12(14)上に半導体ウエハWを載せるときの位置が多少ずれても、半導体ウエハWの周縁部が各基板保持具50の芝状突起部54の一部の上に載る限り、半導体ウエハWは傾かずに水平姿勢で基板保持具50に保持される。
横方向においては、主として突起部54の一部が半導体ウエハWの側面Wに作用(接触)して弾性力により半導体ウエハWを保持するので、半導体ウエハWと突起部54との間の摩擦係数は重要ではなく、突起部54の材質および形状を自由に選ぶことができる。
この実施例の基板保持具50においては、各々の芝状突起部54が、半導体ウエハWの下に隠れた場合は縦の方向で変形して同方向で半導体ウエハWを保持し、半導体ウエハWの外側でウエハ側面に接触する場合はあまり変形せずに横方向で半導体ウエハWを保持するようになっている。これにより、半導体ウエハWの載置位置が多少ずれても、各々の芝状突起部54がフレキシブルに対応することができる。
また、各基板保持具50上で半導体ウエハWの周縁部が自重で程よい深さに沈むので、半導体ウエハWに反りがあっても、反りが無いのと同様に安定確実に保持される。
また、搬送中に搬送アーム12(14)のピック部が前のめりに傾いても、基板保持具50にて半導体ウエハWをしっかりと安定に保持することができる。特に、クラスタツールの処理装置においては、真空搬送装置の搬送アームが長く、プロセス・チャンバへの基板の出し入れに際して搬送アームが伸びた時に自重により前のめりに傾きやすく、それによってアーム上で基板がずれやすいことが従来の問題となっていた。しかし、この実施形態では、搬送アーム12(14)上の半導体ウエハWに対して基板保持具50が横方向でも十分大きな保持力を有するので、搬送アーム12(14)が前のめりに傾いても半導体ウエハWの位置ずれを防止することができる。
このように、搬送アーム12(14)上で半導体ウエハWが傾いたり位置ずれを起こすことはない。したがって、半導体ウエハWがすべり落ちるおそれがないのはもちろん、搬送アーム12(14)上の半導体ウエハWの位置を光学センサによって検知する精度および信頼性が向上する。
さらに、基板保持具50は半導体ウエハWの周縁部だけにしか接触しないので、プロセス・チャンバPMで受けたプロセス次第で半導体ウエハWの裏面状態が変わっても、基板保持具50のウエハ保持力はその影響を全く受けない。
また、基板保持具50は、保持パッドとして搬送アーム12(14)の載置面の任意の箇所に着脱可能または張替自在に簡単かつ安価に取り付けられる。基板搬送装置側では、搬送アーム12(14)に特別な細工をしなくて済み、基板保持のオン・オフを切り換えるための特別な制御装置も一切不要である。

[実施例1の変形例]
上述した第1の実施例において、基板保持具50上で突起部54の形状や太さを異ならせることも可能である。たとえば、基板保持具50上で半導体ウエハWが載置されない外側の突起部54を太め(強め)に構成することにより、搬送中の半導体ウエハWのずれを確実に防止することができる。
また、上述した第1の実施例では基板保持具50の突起部54を直毛タイプの人工芝に似た形体にしたが、他の人工芝に似た形体とすることも可能である。あるいは、突起部54を小薄片状に形成することも可能であり、たとえば図7に示すように鱗状の突起部56として形成する構成を好適に採ることができる。
図7において、この鱗状突起部56は、パッド本体52の上面から斜め上方に延びて、外力つまり半導体ウエハWからの重力あるいは押圧力に応じて弾性的に変位するようになっており、半導体ウエハWを保持する上で上述した芝状突起部54と同様の作用を奏することができる。
すなわち、半導体ウエハWの周縁部を保持するに際して、パッド本体52上の鱗状突起部56の一部が半導体ウエハWの下に隠れ、残りは半導体体ウエハWの外で露出する。そして、半導体ウエハWの下に隠れた突起部54は、半導体ウエハWの裏面WBに接触して半導体ウエハWを水平姿勢のまま重力で程よく沈ませ、主に縦の方向で半導体ウエハWを保持する。また、半導体ウエハWの周縁部の近くで露出している突起部56の幾つかは半導体ウエハWの側面WSまたは周縁丸め斜面WRに接触して、大きな弾性率で横方向に少しだけ弾性変位することにより、半導体ウエハWの横ずれを防ぎ、主に横の方向で半導体ウエハWを保持する。鱗状突起部56のサイズ、高さ、配置密度、弾性力、半導体ウエハWの重量等をパラメータとすることによって、縦方向および横方向の各々でウエハ保持力を任意に調整することができる。
次に、図8〜図12につき、本発明の第2の実施例における基板保持具50の構成および作用を説明する。
この第2の実施例における基板保持具50は、図8〜図10に示すように、搬送アーム12(14)の載置面にたとえばボルト58(図8)で着脱可能に固定されるパッド本体60と、このパッド本体60の上面に一定の密度またはピッチで林立して設けられている複数(好ましくは多数)の突起部62とからなり、各々の突起部62が金属製のバネ部材64を有している構成を特徴とする。
この基板保持具50の突起部62は、バネ部材64としてたとえば竹の子ばねを有し、この竹の子ばね64の上端部にキャップ66を一体に被せている(図9)。竹の子ばね64は搬送アーム12(14)の載置面に対して垂直な方向に延びるようにパッド本体60の上面に設けられ、竹の子ばね64の基端部はパッド本体60に埋め込まれて固定されている(図10)。
パッド本体60は、上記第1実施例のパッド本体52と同様の形状を有し、同様の材質からなるものでよい。キャップ66は、竹の子ばね64の上端部だけでなく中間部も覆うような長めの円筒部を有するのが好ましく、素材としてたとえばテフロン(登録商標)やPEEK等の樹脂を好適に用いることができる。竹の子ばね64は、全長1cm以下の小型サイズのものを使用してよく、市販品または特注品のいずれであってもよい。
この実施形態の基板保持具50においては、搬送アーム12(14)上で半導体ウエハWがその周縁部にて当該基板保持具50の上に載ると、図11または図12に示すように、バネ付き突起部62の上で半導体ウエハWが程よい深さに沈むようになっている。この沈み量Dは、半導体ウエハWの厚みTよりは小さく、その半分程度(D=0.4〜0.6T)が最も好ましい。
ここで、パッド本体60上のバネ付き突起部62のうち、半導体ウエハWの下に隠れた突起部62は、キャップ66の頂部にて半導体ウエハWの裏面Wに接触して、竹の子ばね64が軸方向に圧縮変形して半導体ウエハWからの縦方向の力(重力)に抗し、主に縦方向つまり半導体ウエハWの板面(または搬送アーム12,14の載置面)と垂直な方向で半導体ウエハWを保持する。
また、パッド本体60上のバネ付き突起部62のうち、半導体ウエハWの周縁部の近傍で露出している突起部62の幾つかは、キャップ66の頂部よりも低い部位で半導体ウエハWの側面Wまたは丸め斜面Wに接触して、半導体ウエハWからの横向きの力(押圧力)に抗し、主に半導体ウエハWの板面と平行な方向で半導体ウエハWを保持する。
この場合、キャップ66の円筒状胴部で半導体ウエハWの側面Wと接触するバネ付き突起部62は、軸方向の荷重を殆ど受けないので、竹の子ばね64は殆ど(あるいは僅かしか)圧縮変形しない(図11)。一方、キャップ66の頭部が半導体ウエハWの周縁丸め斜面Wと接触するバネ付き突起部62は、軸方向に荷重を受けるので、竹の子ばね64が幾らか圧縮変形する(図12)。
このように、この第2の実施例における基板保持具50は、搬送アーム12(14)の載置面に固定されるパッド本体60と、このパッド本60の上面に林立して設けられている多数のバネ付き突起部62とを有し、半導体ウエハWの周縁部を保持するに際して、パッド本体52上のバネ付き突起部62の一部が半導体ウエハWの下に隠れ、残りは半導体体ウエハWの外で露出する。そして、半導体ウエハWの下に隠れたバネ付き突起部62は、半導体ウエハWの裏面Wに接触し、竹の子ばね64が軸方向で圧縮変形して、半導体ウエハWを重力で程よい深さに沈ませ、主に縦の方向で半導体ウエハWを保持する。また、半導体ウエハWの周縁部の近くで露出しているバネ付き突起部62の幾つかは半導体ウエハWの側面Wまたは周縁丸め斜面Wに接触して、竹の子ばね64が軸方向では殆どまたは少ししか圧縮変形せず、主に横の方向で半導体ウエハWを保持する。バネ付き突起部62の直径、高さ、キャップ形状、配置密度、バネ係数、半導体ウエハWの重量等をパラメータとすることによって、縦方向および横方向の各々でウエハ保持力を任意に調整することができる。
たとえば、半導体ウエハWが300mm口径のものである場合、その重量は130gである。真空搬送ロボット16において、搬送アーム12(14)の移動速度が0.5m/secで、1秒で停止すると仮定すると、停止時の加速度は0.5m/secであり、半導体ウエハWに働く横方向の力は130g×0.5m/sec=65gm/secとなる。半導体ウエハWに接触する突起部62の中でこの横方向の力に抗する突起部62の本数(図2の例ではアーム前部の2つの基板保持具50において横方向の力に抗する突起部62の本数)をたとえば20本とすると、1本当たりの負荷は約3gm/secである。したがって、横方向では、約3gm/secの負荷に耐えられるように突起部62の強度を設計すればよい。
縦方向では、半導体ウエハWの沈み込む量は、その厚み(たとえば0.8mm)以下にするのがよい。この場合、半導体ウエハWの重量を受け止める突起部62の本数(図2の例ではアーム前部および後部の4つの基板保持具50において半導体ウエハWの重量を受け止める突起部62の本数)を240本とすると、1本当たりの負荷は130g/240本=約0.5g/本となる。したがって、縦方向では、約0.5gの負荷に対して0.8mm以下の沈み込み量で確実に下方に変形または変位するように突起部62の弾性特性を設計すればよい。
上記のような突起部62における横方向の強度および縦方向の弾性特性は、上記第1の実施形態の突起部54においても同様に当てはまる。
この第2の実施例の基板保持具50も、上記第1の実施例の基板保持具と同様の効果を奏することが可能であり、搬送アーム12(14)に特別な細工を要することなく着脱可能に簡単かつ安価に取付可能であり、半導体ウエハWの裏面状態や反りにも影響されず、搬送アーム12(14)の載置面上で半導体ウエハWの載置位置が多少ずれても基板を正しい姿勢で安定に保持することができる。
加えて、第2の実施例の基板保持具50は、突起部62の弾性変位が金属製のバネによって行われるため、基板保持の再現性、安定性および耐久性において大なる利点を有する。
さらに、第2の実施例の基板保持具50を金属やセラミックにて形成した場合には、基板の保持力を保ちつつ、耐熱性が高いという利点を有する。また、金属汚染を考慮した場合には、半導体ウエハより柔らかい素材であることが好ましく、例えば、耐熱性プラスチックがあげられる。
さらに、半導体ウエハWの電荷を除去することができるよう、基板保持具50の突起部62を接地してもよい。この構成によると、プラズマ処理後の帯電した半導体ウエハWと処理装置内の部材等の間でスパークが起こる恐れをなくすことができる。

[実施例2の変形例1]
上記第2の実施例の基板保持具50において、突起部62のバネは、竹の子ばねには限定されず、たとえば図13に示すような圧縮コイルばね68も同様に使用できる。
この圧縮コイルばね68は、パッド本体52の上面に縦方向に形成されたざぐり穴70の中に収容され、半導体ウエハWから受ける荷重または押圧力に対して縦方向つまり搬送アーム12(14)の載置面に対して垂直な方向で弾性変形するようになっている。圧縮コイルばね68の下端はざぐり穴70の底に固定され、圧縮コイルばね68の上端部に円柱状のキャップ66が被せられる。ざぐり穴70の内壁は、キャップ66を縦方向で案内するための筒状案内部と、キャップ66の肩部66a(ひいては頂部)の上限位置を規定するためのストッパとを構成している。

[実施例2の変形例2]
次に、図14〜図16につき、バネ付き突起部62を備える第2の実施例における第2の変形例を説明する。図14にこの第2の変形例における基板保持具50の外観構成を示し、図15にその拡大図を示す。
この第2の変形例における基板保持具50も、上述した第1の変形例(図13)と同様に、搬送アーム12(14)の上面にたとえばボルト58で着脱可能に固定されるパッド本体60と、このパッド本体60上面のざぐり穴70に収容される弾性変位可能な複数(好ましくは多数)の突起部62とを備え、各々の突起部62がバネ部材68を有している。上記第1の変形例と異なる主な点は、突起部62の本数を大幅に減らしていることと、突起部62の配置パターンに工夫を凝らしていることである。
より詳細には、図15に示すように、パッド本体72の上面に設ける突起部62の総数をたとえば数10本以下に減らし、配置密度を低くすることにより、半導体ウエハWの重量を受け止める突起部62のバネ力を減らす。これにより、半導体ウエハWをより確実に沈み込ませることが可能となる。また、図16に示すように、たとえば半導体ウエハWの厚みTと同等の沈み込み量Dを実現するように構成してもよい。沈み込み量Dをこの程度に大きくすることにより、半導体ウエハWに反りや沈み込み不足があっても、十分に安定なウエハ保持力を得ることができる。
また、図15に示すように、アーム全体の載置面の中心点(または基準ウエハ載置位置の中心点)Oとパッド本体60の中心を通る直線Nに対して線対称な頂点が中心点Oを向くV字のライン上に突起部62を一定の間隔で複数配置し、かつ直線Nに沿ってVパターンを複数列(図示の例は2列)設けている。このような突起部配置パターンによれば、半導体ウエハWの位置ずれに対して一定ピッチp(たとえばp=0.2mm)毎に直線N上の1個の突起部62または直線Nの両側の一対の突起部62,62により半導体ウエハWの側面を効率よく安定に保持し、かつ半導体ウエハWの下敷きになるすべての突起部62を確実に十分な深さまで沈み込ませることができる。
この実施例では、他にも種種の特徴点がある。たとえば、図16に示すように、搬送アーム12(14)の上面に形成した凹部72の中にパッド本体60を取り付けており、これにより基板保持具50を備える搬送アーム12(14)の薄型化を実現している。
パッド本体60を搬送アーム12(14)と一体に作り込むことも可能である。それによって、部品点数を減らし、搬送アーム12(14)の洗浄作業を容易に行うことができる。
また、基板保持具50上で半導体ウエハWの裏面にダメージを与えないように、図16に示すように突起部62のキャップ66の頂部に面取り加工またはR加工66bを施す構成を好適に採ることができる。あるいは、図示省略するが、キャップ66の頂部に剛体のボールをその上部だけが露出するように回転可能に埋め込む構成(ボールジョイント)を採用することも可能である。
また、図16に示すように、半導体ウエハWを載せて沈む突起部62は、パッド本体60の中に完全に沈み込まないようにする(つまり、キャップ66の頂部が少しだけ上に出るようにする)のが望ましい。そして、キャップ66が横方向に変位できるように、パッド本体60のざぐり穴70内のサイド・クリアランスを適度に大きくする。これにより、半導体ウエハWの動きに合わせて、これを担持する突起部62も一緒に横に動くことにより、半導体ウエハWに対する横方向の保持力を一層向上させることができる。
基板保持具50の材質に関しては、耐久性の面からは金属が好ましく、耐薬品性の面からは樹脂(特に、テフロン(登録商標)、PEEK(商標名))が好ましく、耐熱性の面ではセラミック(炭化ケイ素、アルミナ)、石英、ポリイミド、カーボン等が好ましい。特に、セラミックやカーボンの場合は、セラミックバネやカーボンバネを用いることで、基板保持具50の全ての部品または部材をセラミック製あるいはカーボン製とすることができる。

[他の実施形態又は変形例]
以上本発明の好適な実施形態について説明したが、本発明は上記した実施形態に減退されず、その技術的思想の範囲内で他の実施形態または種種の変形・変更が可能である。
たとえば、上記実施形態のクラスタツール方式の真空処理装置(図1)においては、ローダ搬送室LM内に設けられる枚葉式の大気搬送ロボット(基板搬送装置)20の搬送アーム22,24に上記実施形態の基板保持具50を適用してもよい。
また、本発明における被処理基板としては、半導体ウエハに限らず、FPD(特に有機EL、液晶パネル)用の各種基板、フォトマスク、プリント基板等も含まれる。したがって、たとえば図17に示すように、FPD用の基板搬送装置の搬送アーム74に上記実施形態の基板保持具50を取り付けることができる。
図17の搬送アーム74は、アーム本体76から前方に平行に延びる一対の外側支持部78および一対の内側支持部80を有している。ここで、比較的短めの外側支持部78の先端には、FPD用の矩形の基板Gの左右両側の周縁部を保持するために内側に突出する爪部78aが形成されており、この爪部78aの上面に基板保持具50が取り付けられる。また、比較的長めの内側支持部80の先端部80aは、矩形基板Gの前部の周縁部より少しはみ出るようになっており、この先端部80aにも基板保持具50が取り付けられる。さらに、内側支持部80の基端部80bが矩形基板Gの後部の周縁部より少しはみ出るようになっており、この基端端部80bにも基板保持具50が取り付けられる。なお、アーム本体76の随所に形成されている矩形または円形の開口82は、軽量化のための孔である。
このように、搬送アーム74に基板保持具50を取り付けることで、FPD用の基板搬送装置は、搬送アーム74で矩形基板Gを保持して搬送する際のアーム姿勢、アーム移動軌跡、搬送速度を基板保持部の制限を受けることなく任意かつ自由に選択することが可能であり、搬送能力を向上させることができる。そして、基板搬送装置の搬送能力が向上することによって、FPD用の基板処理装置またはマルチ枚葉式インライン処理システムのスループットが向上する。
なお、本発明の基板搬送装置においては、搬送アームに取り付ける基板保持具が全て本発明の基板保持具である必要はなく、従来の基板保持具と本発明の基板保持具とを併用してもよい。したがって、たとえば図2の搬送アーム12(14)または図17の搬送アーム74において、前のめりに傾きやすいアーム先端部に取り付ける基板保持具だけを本発明の基板保持具とし、他の基板保持具に従来のたとえばテーパパッド方式の基板保持具を用いることも可能である。
また、本発明の基板保持具は、基板搬送装置の搬送アームに限らず、基板または板状体を保持して搬送または移動する任意の搬送体または移動体に適用可能である。その場合、搬送体または移動体上で本発明の基板保持具により保持される基板または板状体は必ずしも水平姿勢や仰向け姿勢に限定されず、主面または被処理面を下に向けた姿勢や、斜めに大きく傾いた姿勢を採ることも可能であり、極端には垂直姿勢を採ることも可能である。
12,14 搬送アーム
16 真空搬送ロボット
20 大気搬送ロボット
50 基板保持具
52 パッド本体
54 突起部
56 突起部
62 突起部

Claims (12)

  1. 被処理基板を搬送する搬送体の載置面に取り付けられ、前記基板をその基板周縁部に接触して保持する基板保持具であって、
    前記搬送体の載置面に固定される板状またはブロック状のパッド本体と、
    前記パッド本体から同じ方向に延びる弾性的に変形可能な複数の突起部と
    を有し、
    各々の前記突起部が、前記基板の下に隠れる場合は、前記基板の重みで弾性変形して前記基板の重力に抗し、
    各々の前記突起部が、前記基板の外側で前記基板の側面に接触する場合は、少しだけ弾性変形して、または殆ど弾性変形しないで、その接触による押圧力に抗し、
    前記パッド本体上で、前記複数の突起部の一部が前記基板の裏面を保持するとともに、前記複数の突起部の他の一部が前記基板の側面を保持する、
    基板保持具。
  2. 被処理基板を仰向けに載せて搬送する搬送体の載置面に取り付けられ、前記基板をその基板周縁部に接触して保持する基板保持具であって、
    前記搬送体の載置面に固定される板状またはブロック状のパッド本体と、
    前記パッド本体の上面から上方へ延びる弾性的に変形可能な複数の突起部と
    を有し、
    各々の前記突起部が、前記基板の下に隠れる場合は、前記基板の重みで縦方向に弾性変形して前記基板の重力に抗し、
    各々の前記突起部が、前記基板の外側で前記基板の側面に接触する場合は、横方向に少しだけ弾性変形して、または殆ど弾性変形しないで、その接触による押圧力に抗し、
    前記パッド本体上で、前記複数の突起部の一部が前記基板の裏面に接触して主に前記基板の板面と垂直な方向で前記基板を保持するとともに、前記複数の突起部の他の一部が前記基板の側面に接触して主に前記基板の板面と平行な方向で前記基板を保持する、
    基板保持具。
  3. 前記突起部の構成は、バネ部材を有する構成、および芝状又は小薄片状に形成される構成のいずれかである、請求項1または請求項2に記載の基板保持具。
  4. 被処理基板を搬送する搬送体の載置面に取り付けられ、前記基板をその基板周縁部に接触して保持する基板保持具であって、
    前記搬送体の載置面に固定される板状またはブロック状のパッド本体と、
    前記パッド本体から延びる弾性的に変形可能な複数の突起部と
    を有し、
    前記複数の突起部の一部が前記基板の裏面を保持し、
    前記複数の突起部の他の一部が前記基板の側面を保持し、
    前記突起部は、バネ部材を有する、
    基板保持具。
  5. 被処理基板を仰向けに載せて搬送する搬送体の載置面に取り付けられ、前記基板をその基板周縁部に接触して保持する基板保持具であって、
    前記搬送体の載置面に固定される板状またはブロック状のパッド本体と、
    前記パッド本体の上面から上方に延びる弾性的に変形可能な複数の突起部と
    を有し、
    前記複数の突起部の一部が、前記基板の裏面に接触して主に前記基板の板面と垂直な方向で前記基板を保持し、
    前記複数の突起部の他の一部が、前記基板の側面に接触して主に前記基板の板面と平行な方向で前記基板を保持し、
    記突起部は、バネ部材を有する、
    基板保持具。
  6. 前記バネ部材の少なくとも基端部は、前記パッド本体の中に埋まっている、請求項のいずれか一項に記載の基板保持具。
  7. 前記突起部が、前記バネ部材の上端部を覆うキャップ部を有する、請求項のいずれか一項に記載の基板保持具。
  8. 前記パッド本体が、前記搬送体の載置面に形成されている凹部の中に取り付けられる、請求項1または請求項2に記載の基板保持具。
  9. 前記パッド本体上で、頂点が前記搬送体全体の載置面中心点を向くV字のライン上に前記突起部を一定の間隔で複数配置する、請求項1または請求項2に記載の基板保持具。
  10. 前記芝状又は小薄片状に形成される場合の突起部は、ゴム状弾性体からなる、請求項に記載の基板保持具。
  11. 被処理基板を搬送するための基板搬送装置であって、
    大気圧下または減圧下の室内で移動できるように構成された搬送ベース部と、
    前記搬送ベース部に搭載され、前記基板を載せて支持できるように構成された搬送アームと、
    前記搬送ベース部上で前記搬送アームを所定方向に移動させるためのアーム駆動部と、
    前記基板を保持するために前記搬送アームに取り付けられ、請求項1〜10のいずれか一項に記載の基板保持具を含む基板保持部と
    を有する基板搬送装置。
  12. 大気圧下または減圧下で被処理基板に所定の処理を施す枚葉式の処理ユニットと、
    請求項1〜10のいずれか一項に記載の基板保持具を取り付けた搬送アームを有し、前記搬送アームに前記基板を載せて、前記基板を搬送し、前記処理ユニットに前記基板を搬入し、または前記処理ユニットから前記基板を搬出する基板搬送機構と
    を有する基板処理装置。
JP2011552694A 2010-02-05 2011-02-02 基板保持具及び基板搬送装置及び基板処理装置 Active JP5258981B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011552694A JP5258981B2 (ja) 2010-02-05 2011-02-02 基板保持具及び基板搬送装置及び基板処理装置

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2010024283 2010-02-05
JP2010024283 2010-02-05
PCT/JP2011/000576 WO2011096208A1 (ja) 2010-02-05 2011-02-02 基板保持具及び基板搬送装置及び基板処理装置
JP2011552694A JP5258981B2 (ja) 2010-02-05 2011-02-02 基板保持具及び基板搬送装置及び基板処理装置

Publications (2)

Publication Number Publication Date
JPWO2011096208A1 JPWO2011096208A1 (ja) 2013-06-10
JP5258981B2 true JP5258981B2 (ja) 2013-08-07

Family

ID=44355223

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011552694A Active JP5258981B2 (ja) 2010-02-05 2011-02-02 基板保持具及び基板搬送装置及び基板処理装置

Country Status (6)

Country Link
US (2) US20120315113A1 (ja)
JP (1) JP5258981B2 (ja)
KR (1) KR101259862B1 (ja)
CN (1) CN102741995A (ja)
TW (1) TWI412101B (ja)
WO (1) WO2011096208A1 (ja)

Families Citing this family (372)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI564988B (zh) * 2011-06-03 2017-01-01 Tel Nexx公司 平行且單一的基板處理系統
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8985929B2 (en) * 2011-09-22 2015-03-24 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP2791034B1 (en) * 2011-12-16 2021-01-27 Brooks Automation, Inc. Transport apparatus
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014093420A (ja) * 2012-11-02 2014-05-19 Toyota Motor Corp ウェハを支持ディスクに接着する治具、および、それを用いた半導体装置の製造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9004564B2 (en) * 2013-03-13 2015-04-14 Varian Semiconductor Equipment Associates, Inc. Wafer handling apparatus
US10297481B2 (en) * 2013-03-21 2019-05-21 Tokyo Electron Limited Magnetic annealing apparatus
US8864202B1 (en) * 2013-04-12 2014-10-21 Varian Semiconductor Equipment Associates, Inc. Spring retained end effector contact pad
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10312127B2 (en) * 2013-09-16 2019-06-04 Applied Materials, Inc. Compliant robot blade for defect reduction
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP5802735B2 (ja) * 2013-12-27 2015-11-04 ファナック株式会社 退避装置を備えた対象物搬送システム
KR101575129B1 (ko) * 2014-01-13 2015-12-08 피에스케이 주식회사 기판 이송 장치 및 방법, 그리고 기판 처리 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
WO2016017485A1 (ja) * 2014-07-29 2016-02-04 シャープ株式会社 基板処理装置
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6435795B2 (ja) * 2014-11-12 2018-12-12 株式会社ダイフク 物品搬送設備
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6456712B2 (ja) * 2015-02-16 2019-01-23 東京エレクトロン株式会社 基板保持機構及びこれを用いた基板処理装置
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
JP2017035743A (ja) * 2015-08-07 2017-02-16 日東電工株式会社 搬送装置
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
JP6777985B2 (ja) 2015-11-19 2020-10-28 株式会社荏原製作所 基板保持装置
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN106856186B (zh) * 2015-12-08 2020-02-18 上海微电子装备(集团)股份有限公司 一种硅片交接精度控制装置、硅片吸附台、硅片传输系统及硅片交接方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6357187B2 (ja) * 2016-03-31 2018-07-11 キヤノン株式会社 搬送装置、リソグラフィ装置、および物品の製造方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6298099B2 (ja) * 2016-05-18 2018-03-20 キヤノントッキ株式会社 基板搬送装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
EP3482259B1 (en) 2016-07-06 2024-01-10 ASML Netherlands B.V. A substrate holder and a method of manufacturing a substrate holder
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6774714B2 (ja) * 2016-07-25 2020-10-28 株式会社アドテックエンジニアリング ワークステージ及び露光装置
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6700149B2 (ja) 2016-09-29 2020-05-27 株式会社Screenホールディングス 姿勢変更装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9975255B1 (en) * 2016-12-15 2018-05-22 Jabil Inc. Apparatus, system and method for providing a conformable vacuum cup for an end effector
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10600668B2 (en) * 2017-03-30 2020-03-24 Sharp Kabushiki Kaisha Adsorption device, conveyance device, and EL device manufacturing device
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10399231B2 (en) * 2017-05-22 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate handling contacts and methods
CN108983552B (zh) 2017-05-31 2020-01-24 上海微电子装备(集团)股份有限公司 一种移入移出机构及光刻机工件台移入移出装置
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
DE102018004436A1 (de) * 2017-06-06 2018-12-06 Solaytec B.V. Wafergreifer-einheit, system und verwendung davon
KR102206687B1 (ko) * 2017-06-26 2021-01-22 니뽄 도쿠슈 도교 가부시키가이샤 기판 유지 부재
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
KR102492533B1 (ko) 2017-09-21 2023-01-30 삼성전자주식회사 지지 기판, 이를 이용한 반도체 패키지의 제조방법 및 이를 이용한 전자 장치의 제조 방법
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN109786312A (zh) * 2017-11-15 2019-05-21 福建钧石能源有限公司 一种太阳能电池载板
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP6353969B1 (ja) * 2017-11-29 2018-07-04 株式会社ユー・エム・アイ 搬送具と搬送方法と搬送具ユニット
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN108706342A (zh) * 2018-07-30 2018-10-26 安徽旭能电力股份有限公司 一种可调式太阳能玻璃的防护输送设备
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
JP7131334B2 (ja) * 2018-11-29 2022-09-06 株式会社安川電機 基板支持装置、基板搬送ロボットおよびアライナ装置
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109625970B (zh) * 2019-01-23 2020-10-30 深圳市华星光电技术有限公司 基板搬运机械手
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN110112588A (zh) * 2019-06-19 2019-08-09 广东电网有限责任公司 一种接地线五防系统
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102301114B1 (ko) * 2019-09-06 2021-09-10 주식회사 글린트머티리얼즈 이중 구조의 미끄럼 방지 패드 및 이를 구비한 웨이퍼 이송 로봇
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
JP7256728B2 (ja) * 2019-10-04 2023-04-12 株式会社荏原製作所 基板ホルダ及び基板処理装置
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
CN112682465A (zh) * 2019-10-17 2021-04-20 夏泰鑫半导体(青岛)有限公司 承载装置及半导体制造装置的加载部件
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CN111334782B (zh) * 2020-02-28 2022-05-27 北京北方华创微电子装备有限公司 半导体设备及其电极装置
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
KR20220027475A (ko) * 2020-08-27 2022-03-08 주식회사 글린트머티리얼즈 관통홀을 포함한 반도체 웨이퍼 이송용 로봇암 블레이드 및 이에 장착되는 미끄럼 방지 패드
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117721429B (zh) * 2024-02-08 2024-04-23 成都国泰真空设备有限公司 磁控溅射镀膜设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06278806A (ja) * 1993-03-29 1994-10-04 Dainippon Screen Mfg Co Ltd 基板支持アーム
JP2003168717A (ja) * 2001-12-03 2003-06-13 Yaskawa Electric Corp ウェハ搬送フォーク
JP2009164226A (ja) * 2007-12-28 2009-07-23 Dainippon Screen Mfg Co Ltd 基板処理装置および基板の芯合わせ方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5626675A (en) * 1993-11-18 1997-05-06 Tokyo Electron Limited Resist processing apparatus, substrate processing apparatus and method of transferring a processed article
JP3437559B2 (ja) * 1993-12-24 2003-08-18 東京エレクトロン株式会社 処理装置
US5722646A (en) * 1995-08-29 1998-03-03 Cna Manufacturing Systems, Inc. Flexible tooling apparatus
US5984293A (en) * 1997-06-25 1999-11-16 Mcms, Inc. Apparatus for holding printed circuit board assemblies in manufacturing processes
JP2000003951A (ja) * 1998-06-16 2000-01-07 Tokyo Electron Ltd 搬送装置
US6726195B1 (en) * 1998-10-13 2004-04-27 Dek International Gmbh Method for ensuring planarity when using a flexible, self conforming, workpiece support system
US6056026A (en) * 1998-12-01 2000-05-02 Asyst Technologies, Inc. Passively activated valve for carrier purging
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20020071756A1 (en) * 2000-12-13 2002-06-13 Gonzalez Jose R. Dual wafer edge gripping end effector and method therefor
US6497403B2 (en) * 2000-12-28 2002-12-24 Memc Electronic Materials, Inc. Semiconductor wafer holder
JP2003077980A (ja) * 2001-09-06 2003-03-14 Yaskawa Electric Corp ウェハ搬送用ハンド
US6824343B2 (en) * 2002-02-22 2004-11-30 Applied Materials, Inc. Substrate support
JP2005126814A (ja) * 2003-09-30 2005-05-19 Seiko Epson Corp 表面処理方法
US7490878B1 (en) * 2003-12-29 2009-02-17 Storage Technology Corporation ESD safe vacuum wand tip
DE102004029359B4 (de) * 2004-06-17 2006-08-03 Siemens Ag Vorrichtung zum Lagern eines Gegenstandes
US7286890B2 (en) * 2005-06-28 2007-10-23 Tokyo Electron Limited Transfer apparatus for target object
JP4841183B2 (ja) * 2005-06-28 2011-12-21 東京エレクトロン株式会社 基板処理装置,搬送装置,搬送装置の制御方法
JP4954728B2 (ja) * 2007-01-26 2012-06-20 東京エレクトロン株式会社 ゲートバルブの洗浄方法及び基板処理システム
JP4740414B2 (ja) * 2007-04-24 2011-08-03 東京エレクトロン株式会社 基板搬送装置
JP5357694B2 (ja) * 2009-07-03 2013-12-04 東京エレクトロン株式会社 位置ずれ防止装置、これを備えた基板保持具、基板搬送装置および基板搬送方法
KR101208644B1 (ko) * 2009-07-03 2012-12-06 도쿄엘렉트론가부시키가이샤 위치 이탈 방지 장치, 이를 구비한 기판 보지구, 기판 반송 장치 및 기판 반송 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06278806A (ja) * 1993-03-29 1994-10-04 Dainippon Screen Mfg Co Ltd 基板支持アーム
JP2003168717A (ja) * 2001-12-03 2003-06-13 Yaskawa Electric Corp ウェハ搬送フォーク
JP2009164226A (ja) * 2007-12-28 2009-07-23 Dainippon Screen Mfg Co Ltd 基板処理装置および基板の芯合わせ方法

Also Published As

Publication number Publication date
TWI412101B (zh) 2013-10-11
JPWO2011096208A1 (ja) 2013-06-10
KR20120096599A (ko) 2012-08-30
TW201227868A (en) 2012-07-01
US20120315113A1 (en) 2012-12-13
US20140093336A1 (en) 2014-04-03
KR101259862B1 (ko) 2013-05-02
CN102741995A (zh) 2012-10-17
WO2011096208A1 (ja) 2011-08-11
US9406539B2 (en) 2016-08-02

Similar Documents

Publication Publication Date Title
JP5258981B2 (ja) 基板保持具及び基板搬送装置及び基板処理装置
US9929029B2 (en) Substrate carrier system
KR101660241B1 (ko) 기판을 이동시키기 위한 시스템, 장치 및 방법
JP4680657B2 (ja) 基板搬送システム
KR100973610B1 (ko) 엔드 이펙터 및 엔드 이펙터를 구비한 로봇
CN104271474A (zh) 输送设备
WO2021106796A1 (ja) 基板搬送装置及び基板処理システム
JP5657948B2 (ja) 真空処理装置及び基板移載方法
JP4849825B2 (ja) 処理装置、位置合わせ方法、制御プログラムおよびコンピュータ記憶媒体
KR20160030282A (ko) 온-더-플라이 기판 센터링을 갖는 처리 장치
WO2021106799A1 (ja) 基板搬送装置及び基板処理システム
KR20020006461A (ko) 반도체 처리 시스템 및 그 반송 장치
US9812343B2 (en) Load station
KR102652598B1 (ko) 기판 반송 장치, 기판 반송 방법, 및 기판 처리 시스템
US20090003979A1 (en) Techniques for handling substrates
KR101681192B1 (ko) 반송 로봇
JP2002151566A (ja) 基板搬送装置
JP2023139392A (ja) 基板処理システム
KR20170053107A (ko) 핸드 제조 방법 및 기판 처리 방법

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130326

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130423

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160502

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5258981

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250