US20120315113A1 - Substrate holder, substrate transfer apparatus, and substrate processing apparatus - Google Patents

Substrate holder, substrate transfer apparatus, and substrate processing apparatus Download PDF

Info

Publication number
US20120315113A1
US20120315113A1 US13/577,019 US201113577019A US2012315113A1 US 20120315113 A1 US20120315113 A1 US 20120315113A1 US 201113577019 A US201113577019 A US 201113577019A US 2012315113 A1 US2012315113 A1 US 2012315113A1
Authority
US
United States
Prior art keywords
substrate
transfer
substrate holder
semiconductor wafer
main body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/577,019
Other languages
English (en)
Inventor
Tsutomu Hiroki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HIROKI, TSUTOMU
Publication of US20120315113A1 publication Critical patent/US20120315113A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/04Arrangements of vacuum systems or suction cups
    • B65G2249/045Details of suction cups suction cups

Definitions

  • the present invention relates to a substrate holder for holding a target substrate on a transfer arm, and a single type substrate transfer apparatus and single type substrate processing apparatus using the substrate holder.
  • a manufacture line of a semiconductor device or flat panel display employs a single type process for processing target substrates (semiconductor wafers, glass substrates, etc.) one by one.
  • target substrates semiconductor wafers, glass substrates, etc.
  • a multi single type inline process system where a plurality of process units are integrally arranged along or around a transfer path of a transfer system is being widely used.
  • Such a transfer system for a single type process unit or for a multi single type inline process system uses a single type substrate transfer apparatus which holds substrates one by one, and carries the substrates into or out of each process unit one by one.
  • a type of substrate transfer apparatus is configured as a transfer robot, and includes one or several transfer arms capable of holding substrates to face upward one by one and performing rotating motion, elevating movement, and advancing and retreating movement.
  • the transfer arm of such a substrate transfer apparatus includes a substrate holding portion (holder or holding mechanism) that prevents a substrate from being misaligned or from falling during transfer.
  • a substrate holding portion holder or holding mechanism
  • a rear surface friction method, a taper pad method, a dropping method, or a vacuum adhesion method is used.
  • a plurality of holding pads having a plate or block shape are discretely attached to a top surface or holding surface of the transfer arm. Then, the substrate is placed on the holding pad to face upward, and is held by using a frictional force between a rear surface of the substrate and a pad surface (for example, refer to FIG. 8 and descriptions thereof in Patent Reference 1). Elastomer, ceramic, or the like is used as a material of such a holding pad.
  • a plurality of holding pads having a tapered side surface are discretely attached to a holding surface of the transfer arm at predetermined intervals such as to surround the substrate. Then, a periphery portion of the substrate is fastened to the tapered side surface of the holding pads such that the substrate is held facing upward (for example, refer to FIG. 4 and descriptions thereof in Patent Reference 1).
  • the substrate is dropped along the tapered side surface from a location higher than a top surface of the holding pad, above the holding surface of the transfer arm, and thus the substrate is held in line-contact to a location on the tapered side surface where gravity of the substrate and a reaction from the holding pad are balanced.
  • a pick portion of the transfer arm is formed as a fork slightly larger than an outline shape of the substrate along the outline shape of the substrate, and a plurality of claw portions protruding from and extending inward the fork to surround the substrate at predetermined intervals adhere to the fork. Then, the substrate is dropped down to a bottom surface (holding surface) of the claw portions along an inclined surface formed on an inner side of the claw portions, so as to hold the substrate facing upward in surface-contact at the bottom surface of the claw portion (for example, refer to FIG. 4 and descriptions thereof in Patent Reference 2).
  • a plurality of suction holes are provided on a holding surface of the transfer arm, a rear surface of the substrate is placed on the suction holes, and a vacuum suction apparatus connected to the suction holes through an air passage performs vacuum suction, thereby fixing the substrate to the transfer arm at the suction holes (for example, refer to FIG. 3 and descriptions thereof in Patent Reference 2).
  • Patent Reference 1 Japanese Laid-Open Patent Publication No. 2000-3951
  • Patent Reference 2 Japanese Laid-Open Patent Publication No. 2002-64057
  • a substrate transfer technology is important in increasing production efficiency, and a transfer speed of a substrate transfer apparatus is making steady progress.
  • an operation of a transfer arm which holds a substrate is speeding up by not only including a slide movement and an elevating movement, but also a rotating motion. Meanwhile, it is easy for a leading end portion of the transfer arm to tilt forward due to gravity of the substrate, according to an increased size of the substrate.
  • a substrate holding force is essentially weak, and thus the substrate may slide and fall from the transfer arm.
  • the coefficient of friction i.e., a holding force, varies according to a rear surface state of the substrate, and it is difficult to hold the substrate when the substrate is bent.
  • the substrate may be placed on the pad or claw portion and easily tilt askew.
  • the substrate tilts askew on the transfer arm it is easy for the substrate to fall, and even if the substrate does not fall, it is difficult to detect the location of the substrate on the transfer arm by using an optical sensor.
  • the taper pad method requires the suitable coefficient of friction between the tapered side surface of the holding pad and the substrate, and thus often uses elastomer as the material of the holding pad.
  • elastomer as the material of the holding pad.
  • transfer of the substrate under a high temperature process such as chemical vapor deposition (CVD)
  • CVD chemical vapor deposition
  • a heat resistant temperature of elastomer is low (about 300° C.)
  • ceramic is used as a material of the holding pad.
  • the rear surface friction method when a contact type holding pad is made of ceramic, the substrate easily slides, and thus stable holding is difficult.
  • the vacuum adsorption method does not cause any problem in terms of a substrate holding force, but is practically disadvantageous since a structure of the transfer arm and equipment of the substrate transfer apparatus are complicated and highly priced. Also, the vacuum adsorption method has a limitation in use since it does not function in a vacuum transfer apparatus which operates under decompression. Further, particles are easily adhered.
  • the present invention provides a substrate holder which is simply adhered to a transfer body, such as a transfer arm, at a low price without any special work, is not affected by a rear surface state or bending of a substrate, and is capable of stably holding the substrate at a proper position, even when a location of the substrate is somewhat misaligned on a holding surface of the transfer body, and a single type substrate transfer apparatus and substrate processing apparatus using the substrate holder.
  • a substrate holder which is attached to a holding surface of a transfer body for transferring a target substrate, and holds the substrate by contacting a periphery portion of the substrate
  • the substrate holder including: a pad main body which has a plate or block shape and is fixed to the holding surface of the transfer body; and a plurality of protruding portions which extend from the pad main body and are elastically transformable, wherein some of the plurality of protruding portions hold a rear surface of the substrate, and others of the protruding portions hold a side surface of the substrate.
  • a substrate holder which is attached to a holding surface of a transfer body for transferring a target substrate by placing the target substrate to face upward, and holds the substrate by contacting a periphery portion of the substrate
  • the substrate holder including: a pad main body which has a plate or block shape and is fixed to a holding surface of the transfer body; and a plurality of protruding portions which extend upward from a top surface of the pad main body, and are elastically transformable, wherein some of the plurality of protruding portions hold the substrate mainly in a direction perpendicular to a plate surface of the substrate by contacting a rear surface of the substrate, and others of the protruding portions hold the substrate mainly in a direction parallel to the plate surface of the substrate by contacting a side surface of the substrate.
  • the protruding portions hiding beneath the substrate hold the rear surface of the substrate, and some of protruding portions exposed near the periphery portion of the substrate hold side surface of the substrate.
  • a substrate transfer apparatus which transfers a target substrate
  • the substrate transfer apparatus including: a transfer base portion which is configured to be moveable inside a chamber under atmospheric pressure or decompression; a transfer arm which is configured to be loaded on the transfer base portion and to support the substrate by placing the substrate on the transfer arm; an arm driving portion for moving the transfer arm on the transfer base portion in a predetermined direction; and a substrate holding portion which is attached to the transfer arm to hold the substrate, and including the substrate holder above.
  • a substrate processing apparatus including: a single type process unit which performs a predetermined process on a target substrate under atmospheric pressure or decompression; and a substrate transfer mechanism which includes a transfer arm to which the substrate holder above is attached, places the substrate on the transfer arm, and transfers the substrate to carry the substrate into or out of the process unit.
  • a substrate holder of the present invention can be simply attached to a transfer body, such as a transfer arm, at a low price without any special work, is not affected by a rear surface state or bending of a substrate, and can stably hold the substrate at a proper position even when a location of the substrate is somewhat misaligned on a holding surface of the transfer body.
  • a substrate transfer apparatus of the present invention can arbitrarily and freely select an arm position, an arm moving trajectory, and a transfer speed while holding and transferring a substrate to a transfer arm without being limited by a substrate holding portion, thereby improving transfer capability.
  • a substrate processing apparatus of the present invention can improve transfer efficiency, thereby improving throughput.
  • FIG. 1 is a plan view showing a structure of a substrate processing apparatus according to an embodiment of the present invention
  • FIG. 3 is a perspective view schematically showing an external structure of a substrate holder according to a first embodiment
  • FIG. 4 is a side view schematically showing an operation of the substrate holder
  • FIGS. 5A and 5B are side views schematically showing an operation of the substrate holder
  • FIG. 6 is a side view schematically showing an operation of the substrate holder
  • FIG. 7 is a perspective view schematically showing an external structure of a substrate holder according to a modified example of the first embodiment
  • FIG. 8 is a plan view schematically showing an external structure of a substrate holder according to a second embodiment
  • FIG. 9 is a perspective view showing a structure of a protruding portion of the substrate holder.
  • FIG. 10 is a partially cross-sectioned side view schematically showing a structure of the substrate holder
  • FIG. 11 is a side view schematically showing an operation of the substrate holder
  • FIG. 12 is a side view schematically showing an operation of the substrate holder
  • FIG. 13 is a view (side view and partially magnified cross-sectional view) showing a structure of a substrate holder according to a first modified example of the second embodiment
  • FIG. 14 is a plan view schematically showing an external structure of a substrate holder according to a second modified example of the second embodiment
  • FIG. 15 is a magnified plan view showing the external structure of the substrate holder according to the second modified example.
  • FIG. 16 is a view (side view and partially magnified cross-sectional view) showing the structure of the substrate holder according to the second modified example.
  • FIG. 17 is a plan view showing an example of adhering a substrate holder according to an embodiment to a transfer arm for transferring a rectangular substrate for FPD.
  • FIGS. 1 and 2 show a structure of a substrate processing apparatus, according to an embodiment of the present invention.
  • the substrate processing apparatus is configured as a cluster tool type vacuum processing apparatus, which is a type of a multi single type inline process system.
  • the cluster tool type vacuum processing apparatus is provided in a clean room, and arranges six vacuum process chambers PC 1 , PC 2 , PC 3 , PC 4 , PC 5 , and PC 6 , and two load lock chambers LLC a and LLC b in a cluster shape around a vacuum platform (vacuum transfer chamber) PH having a hexagonal shape, wherein a pair of sides extending in an apparatus depth direction is about twice longer than other sides.
  • two process chambers PC 1 and PC 2 are connected to a first long side through gate valves GV 1 and GV 2
  • process chambers PC 3 and PC 4 are respectively connected to first and second short sides through gate valves GV 3 and GV 4
  • two process chambers PC 5 and PC 6 are connected to a second long side through gate valves GV 5 and GV 6
  • the load lock chambers LLC a and LLC b are respectively connected to third and fourth short sides through gate valves GV a and GV b .
  • Each of the process chambers PC 1 through PC 6 is connected to an exclusive vacuum exhaust apparatus (not shown), and thus the interior of each chamber is always maintained in a decompressed state at a variable pressure.
  • a target object for example, a semiconductor wafer W
  • a required single type process for example, a vacuum film forming process, such as CVD, atomic layer deposition (ALD), or sputter, a thermal process, a cleaning process of a semiconductor wafer surface, or a dry etching process, is performed by using a predetermined power (process gas, high frequency, or the like).
  • the platform PH is connected to an exclusive vacuum exhaust apparatus (not shown), and thus the interior of the platform PH is always maintained in a decompressed state generally at a constant pressure.
  • Each of the load lock chambers LLC a and LLC b is connected to an exclusive vacuum exhaust apparatus (not shown) through an opening/closing valve, and thus the interior of each chamber may be frequently switched between an atmospheric pressure state and a vacuum state.
  • the load lock chambers LLC a and LLC b are connected to a loader transfer chamber LM under an atmospheric pressure respectively through gate valves GV c and GV d , from an opposite side viewed from the platform PH.
  • a delivery stand 18 on which the semiconductor wafer W under retention is placed is provided at a center portion in each of the load lock chambers LLC a and LLC b .
  • a load port LP and a location adjusting mechanism ORT are provided adjacent to the loader transfer chamber LM.
  • the load port LP is used for inserting and discharging of a wafer cassette CR capable of accommodating, for example, twenty five semiconductor wafers W in one batch, between an external transfer vehicle.
  • the wafer cassette CR is configured as a front open unified pod (FOUP), a standard mechanical interface (SMIF) box, or the like.
  • the location adjusting mechanism ORT is used to adjust a notch of the semiconductor wafer W or an orientation flat to a predetermined location or direction.
  • a single type atmosphere transfer robot (substrate transfer apparatus) 20 provided in the loader transfer chamber LM includes a pair of stretchable transfer arms 22 and 24 vertically overlapping in two stages, is capable of elevating and rotating as well as moving in a horizontal direction on a linear guide 28 of a linear motor 26 , and transfers the semiconductor wafer W one by one (or in a batch unit) by moving among the load port LP, the location adjusting mechanism ORT, and the load lock chambers LLC a and LLC b .
  • the atmosphere transfer robot 20 carries the semiconductor wafer W into the loader transfer chamber LM while each LP door 25 provided on a front surface of the wafer cassette CR is opened.
  • the linear guide 28 includes, for example, a magnet made of a permanent magnet, a driving magnetic coil, and a scale head, and controls a linear movement of the atmosphere transfer robot 20 according to a command from a controller 30 .
  • the atmosphere transfer robot 20 in the loader transfer chamber LM takes out one semiconductor wafer W from the wafer cassette CR on the load port LP, performs location adjustment by transferring the semiconductor wafer W to the location adjusting mechanism ORT, and then transfers the semiconductor wafer W to any one (for example, the load lock chamber LLC a ) of the load lock chambers LLC a and LLC b .
  • the load lock chamber LLC a at a transfer place receives the semiconductor wafer W in an atmospheric pressure state, is vacuum-sucked after receiving the semiconductor wafer W, and transfers the semiconductor wafer W to the vacuum transfer robot 16 of the platform PH in a decompression state.
  • the vacuum transfer robot 16 carries the semiconductor wafer W taken out from the load lock chamber LLC a into a first process chamber (for example, the process chamber PC 1 ), by using one of the transfer arms 12 and 14 .
  • a first process chamber for example, the process chamber PC 1
  • a single type process of a first operation is performed under predetermined process conditions (gas, pressure, power, time, etc), according to a predetermined recipe.
  • the vacuum transfer robot 16 carries the semiconductor wafer W out of the process chamber PC 1 , and then carries the carried out semiconductor wafer W into a following second process chamber (for example, the process chamber PC 2 ). Also in the second process chamber PC 2 , a single type process of a second operation is performed under predetermined process conditions according to a predetermined recipe.
  • the vacuum transfer robot 16 carries the semiconductor wafer W out of the second process chamber PC 2 , and carries the carried out semiconductor wafer W into a third process chamber (for example, the process chamber PC 3 ) if there is a following operation, and transfers the carried out semiconductor wafer to one of the load lock chambers LLC a and LLC b if there is no following operation.
  • a process is performed in a process chamber (for example, the process chamber PC 5 ) after the third one, the semiconductor wafer W is carried into a process chamber (for example, the process chamber PC 6 ) at a following stage if there is a following operation, and is returned back to one of the load lock chambers LLC a and LLC b if there is no following operation.
  • the vacuum transfer robot 16 of the platform PH may be configured to perform a pick and place operation, where the pair of transfer arms 12 and 14 access each of the process chambers PC 1 through PC 6 or each of the load lock chambers LLC a and LLC b around the vacuum transfer robot 16 , and are alternatively used to initially carry the semiconductor wafer W out of the module and then to carry another semiconductor wafer W into the module by replacing the semiconductor wafer W.
  • the atmosphere transfer robot 20 in the loader transfer chamber LM takes out the semiconductor wafer W from the load lock chamber LLC b in an atmospheric pressure state, and returns the semiconductor wafer W back to the corresponding wafer cassette CR.
  • heating or cooling process may be performed under a desired atmosphere on the semiconductor wafer W in the load lock chamber LLC a and LLC b .
  • the cluster tool type vacuum processing apparatus is capable of continuously performing a series of vacuum processes inline on the semiconductor wafer W by sequentially transferring one semiconductor wafer W to a plurality of process chambers through the platform PH under decompression, and specifically in a vacuum film forming process, is capable of depositing desired thin films inline by continuously performing different film forming processes in a plurality of process chambers.
  • a pair of guide rails 32 and a transfer screw 36 of a ball thread mechanism 34 are built parallel to each other in a length direction of the platform PH inside the platform PH, and the vacuum transfer robot 16 is capable of sliding on the guide rail 32 according to a straight driving of the ball thread mechanism 34 .
  • the transfer screw 36 is combined to a motor 38 .
  • the vacuum transfer robot 16 includes a transfer base portion 40 performing a slide operation, and an arm stretch driving portion 42 that moves pick portions 12 a and 14 a of the transfer arms 12 and 14 back and forth in a straight line or advances and retreats the pick portions 12 a and 14 a , in a direction parallel to a rotating radius.
  • the arm stretch driving portion 42 stretches the transfer arms 12 and 14 formed of horizontal multi-joint robot, thereby performing a carry in and out or pick and place operation of the semiconductor wafer W as described above. Operations of each of the arm stretch driving portion 42 , a rotating driving portion and an elevating driving portion in the transfer base portion 40 , and the ball thread mechanism 34 (motor 38 ) are controlled by the controller 30 .
  • Each of the transfer arms 12 and 14 of the vacuum transfer robot 16 attaches a substrate holder (not shown in FIG. 1 ) of the present invention to it for stably holding the semiconductor wafer W, so that a rotating motion, an elevating movement, or an advancing and retreating movement is arbitrarily performed at a high speed while the semiconductor wafer W is placed facing upward.
  • a plurality of (four in the shown example) substrate holders 50 according to the present invention are discretely attached to predetermined places, i.e., base portions and leading end portions of the pick portions 12 a and 14 a having a fork shape, so as to hold the periphery portion of the semiconductor wafer W at suitable intervals.
  • the vacuum transfer robot 16 by attaching the substrate holder 50 of the present invention described below to each of the transfer arms 12 and 14 , it is possible to arbitrarily and freely select an arm position, an arm moving trajectory, and a transfer speed when each of the transfer arms 12 and 14 holds and transfers the semiconductor wafer W without being restricted by a substrate holding portion, thereby improving transfer capability.
  • FIG. 3 shows an external structure of the substrate holder 50 according to a first embodiment of the present invention.
  • FIGS. 4 through 6 show operations of the substrate holder 50 .
  • the substrate holder 50 in the present embodiment includes a pad main body 52 fixed to the holding surface of the transfer arm 12 ( 14 ) via screw or adhesion, and a plurality of (preferably many or countless) grass shaped (specifically like a straight hair type artificial lawn) protruding portions 54 .
  • the substrate holders 50 are provided at predetermined places of the transfer arm 12 ( 14 ) such that some of the grass shaped protruding portions 54 on the pad main body 52 hide beneath the semiconductor wafer W and others of the grass shaped protruding portion 54 are exposed outside the semiconductor wafer W when the substrate holders 50 hold the periphery portion of the semiconductor wafer W.
  • the pad main body 52 of the substrate holder 50 has a piece of plate shape (for example, a circular plate shape) or a block shape (for example, a cylindrical shape). A top surface of the pad main body 52 may be parallel to the holding surface of the transfer arm 12 ( 14 ).
  • a material of the pad main body 52 may be a metal or ceramic, but alternatively, a resin, such as Teflon (registered mark) or PEEK (brand name), may be suitably used.
  • the grass shaped protruding portion 54 of the substrate holder 50 includes a base 54 a fixed to the top surface of the pad main body 52 , and a contact portion or free end 54 b extending upward askew from the base 54 a and capable of being elastically transformed or displaced according to external force or external energy (gravity, pressure, thermal energy, or the like from the semiconductor wafer W).
  • the protruding portion 54 may be integrally molded with the pad main body 52 .
  • the contact portion 54 b of the protruding portion 54 may be long and thin like a leaf of grass and have a leading end portion in a tapered shape.
  • the leading end portion may tilt askew (preferably at an angle from 30° to 60°) with respect to a direction crossing the holding surface of the transfer arm 12 ( 14 ) at right angles when the contact portion 54 b does not contact the semiconductor wafer W, and may face inward of the outline of the semiconductor wafer W when the contact portion 54 b contacts the semiconductor wafer W.
  • the protruding portions 54 may have suitable rigidity and elastic modulus since the protruding portions 54 are individually elastically transformable with respect to external force. Specifically, as an elastic function of the protruding portion 54 , the protruding portion 54 may be easily bent (small elastic modulus) with respect to force F V in a vertical direction from the top as shown in FIG. 5A , while the protruding portion 54 may be difficult to be bent (high elastic modulus) with respect to a force F H in a horizontal direction from the side as shown in FIG. 5B .
  • the protruding portion 54 may have a thin leading portion to be easily bent with respect to the force F V in the vertical direction, and may have a thick base to be bent with difficulty with respect to the force F H in the horizontal direction.
  • a material of the protruding portion 54 may be a rubber-shaped elastic body capable of elastic transformation in an arbitrary direction, and specifically be fluoro rubber having excellent thermal resistance and chemical resistance.
  • resin capable of elastic transformation in a predetermined direction according to a shape for example, Teflon (registered mark) or PEEK, may be suitably used.
  • the semiconductor wafer W on the transfer arm 12 ( 14 ) when the semiconductor wafer W on the transfer arm 12 ( 14 ) is placed on the substrate holder 50 at the periphery portion, the semiconductor wafer W sinks by a suitable depth on the grass shaped protruding portion 54 as shown in FIG. 4 .
  • each contact portion 54 b contacts a rear surface W B of the semiconductor wafer W, and holds the semiconductor wafer W mainly in the length direction, i.e., in a direction perpendicular to the plate surface of the semiconductor wafer W (or the holding surfaces of the transfer arms 12 and 14 ), resistively to force (gravity) in the length direction from the semiconductor wafer W as the contact portion 54 b bends downward as shown in FIG. 5A .
  • the number of protruding portions 54 contacting the rear surface W B of the semiconductor wafer W is relatively high, and thus a contact area is large, holding force in the width direction by contact friction is somewhat obtained.
  • a rounding work is generally performed on the periphery portion of the semiconductor wafer W, and thus as shown in FIG. 4 , some of the grass shaped protruding portions 54 may contact an peripheral round incline W R .
  • the grass shaped protruding portion 54 contacting the peripheral round incline W R of the semiconductor wafer W as such is located in the middle between the protruding portion 54 contacting the rear surface W B of the semiconductor wafer W and the protruding portion 54 contacting the side surface W S of the semiconductor wafer W, and holds the semiconductor wafer W in directions perpendicular and parallel to the plate surface of the semiconductor wafer W by taking a middle elastic transformation position.
  • the peripheral round incline W R of the semiconductor wafer W has a surface for both wafer side surface and wafer rear surface.
  • the substrate holder 50 of the present embodiment includes the pad main body 52 fixed to the holding surface of the transfer arm 12 ( 14 ), and the plurality of grass shaped protruding portion 54 provided on the top surface of the pad main body 52 , where some of the grass shaped protruding portions 54 on the pad main body 52 hide beneath the semiconductor wafer W and others of the grass shaped protruding portions 54 are exposed outside the semiconductor wafer W, while holding the periphery portion of the semiconductor wafer W.
  • the protruding portions 54 hiding beneath the semiconductor wafer W contact the rear surface W B of the semiconductor wafer W and are transformed or displaced relatively largely downward by using a relatively small elastic modulus, so that the protruding portions 54 hold the semiconductor wafer W mainly in the length direction by sinking the semiconductor wafer W to a suitable depth via gravity. Also, some of the protruding portions 54 exposed near the periphery portion of the semiconductor wafer W contact the side surface W S of the semiconductor wafer W and are transformed a little to the width direction by using a high elastic modulus, thereby holding the semiconductor wafer W mainly in the width direction.
  • some of the protruding portions 54 that do not directly contact the side surface W S of the semiconductor wafer W support the protruding portions 54 contacting the side surface W S of the semiconductor wafer W from the back, and thus the number of the protruding portions 54 holding mainly the semiconductor wafer W in the width direction is never small. Thicknesses, heights, shapes, arrangement density, and elastic forces of the grass shaped protruding portions 54 , a weight of the semiconductor wafer W, etc. may be set as parameters so as to arbitrarily adjust wafer holding force from each of the length and width directions.
  • the substrate holder 50 of the present embodiment stably and definitely holds the semiconductor wafer W facing upward on the transfer arm 12 ( 14 ) according to the above structures and operations.
  • the substrate holder 50 elastically displaces the protruding portions 54 hiding beneath the semiconductor wafer W downward to sink the semiconductor wafer W in a horizontal position, even when a location of the semiconductor wafer W placed on the transfer arm 12 ( 14 ) is somewhat misaligned, the semiconductor wafer W does not tilt and is held by the substrate holder 50 in the horizontal position as long as the periphery portion of the semiconductor wafer W is placed on some of the grass shaped protruding portions 54 of each substrate holder 50 .
  • the protruding portions 54 mainly affect (contact) the side surface W S of the semiconductor wafer W to hold the semiconductor wafer W by elastic force, the coefficient of friction between the semiconductor wafer W and the protruding portion 54 is not important, and thus materials and shapes of the protruding portions 54 may be freely selected.
  • each grass shaped protruding portion 54 holds the semiconductor wafer W in the length direction by being transformed in the same direction when hiding beneath the semiconductor wafer W, and holds the semiconductor wafer W in the width direction without being transformed so much when contacting the wafer side surface from outside the semiconductor wafer W. Accordingly, even when a loading location of the semiconductor wafer W is somewhat misaligned, each grass shaped protruding portion 54 may flexibly deal with the misalignment.
  • the periphery portion of the semiconductor wafer W is sunken by a suitable depth via a self-weight on each substrate holder 50 , even if the semiconductor wafer W is bent, the semiconductor wafer W is stably and definitely held as if there is no bending.
  • the substrate holder 50 can definitely stably hold the semiconductor wafer W.
  • a transfer arm of a vacuum transfer apparatus is long and is easily tilted forward by a self-weight when the transfer arm is stretched while transferring a substrate to a process chamber, and thus it is a conventional problem that the substrate is easily misaligned on the arm.
  • the substrate holder 50 since the substrate holder 50 has sufficiently high holding force even in the width direction with respect to the semiconductor wafer W on the transfer arm 12 ( 14 ), location misalignment of the semiconductor wafer W can be prevented even when the transfer arm 12 ( 14 ) is tilted forward.
  • the semiconductor wafer W is not tilted or misaligned on the transfer arm 12 ( 14 ). Accordingly, the semiconductor wafer W does not slide and fall, and precision and reliability of detecting the location of the semiconductor wafer W on the transfer arm 12 ( 14 ) by using an optical sensor are also improved.
  • the substrate holder 50 since the substrate holder 50 only contacts the periphery portion of the semiconductor wafer W, even when a rear surface state of the semiconductor wafer W is changed according to a process performed in a process chamber PC, the wafer holding force of the substrate holder 50 is not affected at all.
  • the substrate holder 50 is detachable or freely and simply replaceable as a holding pad at a predetermined place of the holding surface of the transfer arm 12 ( 14 ), and is attached thereto at a low price.
  • a special process is not required in the transfer arm 12 ( 14 ), and a special control apparatus for turning on or off substrate holding is not necessary at all.
  • an outer protruding portion 54 on which the semiconductor wafer W is not placed on the substrate holder 50 may be configured to be thick (strong) so as to definitely prevent misalignment of the semiconductor wafer W during transfer.
  • the protruding portion 54 of the substrate holder 50 has a shape similar to straight hair type artificial lawn, but may alternatively have a shape similar to another artificial lawn.
  • the protruding portion 54 may have a small thin piece shape, and for example, as shown in FIG. 7 , a protruding portion 56 having a scale shape may be suitably employed.
  • the scale shaped protruding portion 56 extends upward and askew from the top surface of the pad main body 52 , and is elastically displaced according to an external force, i.e., gravity or pressure from the semiconductor wafer W, and thus may have the same operation as the grass shaped protruding portion 54 described above in holding the semiconductor wafer W.
  • some of the protruding portions 56 exposed near the periphery portion of the semiconductor wafer W contact the side surface W S or the peripheral round incline W R of the semiconductor wafer W to be elastically displaced a little in the width direction with a high elastic modulus, thereby holding the semiconductor wafer W mainly in the width direction by preventing the semiconductor wafer W from being misaligned to sideways.
  • Sizes, heights, arrangement density, and elastic forces of the scale shaped protruding portions 56 , the weight of the semiconductor wafer W, etc. are set as parameters so as to arbitrarily adjust the wafer holding force in each of the length and width directions.
  • the substrate holder 50 includes a pad main body 60 detachably fixed to the holding surface of the transfer arm 12 ( 14 ) by using, for example, a bolt 58 ( FIG. 8 ), and a plurality of (preferably many) protruding portions 62 standing and provided close together at uniform density or pitches on a top surface of the pad main body 60 , where each protruding portion 62 includes a spring member formed of a metal material, as shown in FIGS. 8 through 10 .
  • a volute spring is used as the spring member, where a cap 66 integrally covers a top portion of the volute spring 64 ( FIG. 9 ).
  • the volute spring 64 is provided on the top surface of the pad main body 60 to extend in a direction perpendicular to the holding surface of the transfer arm 12 ( 14 ), and a base portion of the volute spring 64 is buried in and fixed to the pad main body 60 ( FIG. 10 ).
  • the pad main body 60 may have the same shape and be formed of the same material as the pad main body 52 of the first embodiment.
  • the cap 66 may have a long container portion covering not only the top portion of the volute spring 64 but also a middle portion of the volute spring 64 , and for example, a resin, such as Teflon (registered mark) or PEEK, may be suitably used as a material.
  • the volute spring 64 may have a small size where an entire length is less than or equal to 1 cm, and may be any one of a product on the market and a custom-ordered product.
  • protruding portions 62 hiding beneath the semiconductor wafer W from among the spring-adhered protruding portions 62 on the pad main body 60 contact the rear surface W B of the semiconductor wafer W at a apex portion of the cap 66 , and hold the semiconductor wafer mainly in the length direction, i.e., in the direction perpendicular to the plate surface of the semiconductor wafer W (or the holding surfaces of the transfer arms 12 and 14 ) resistively to force (weight) in the length direction from the semiconductor wafer W as the volute spring 64 is compressed and transformed in an axis direction.
  • some of the protruding portion 62 exposed near the periphery portion of the semiconductor wafer W from among the spring-adhered protruding portion 62 on the pad main body 60 contact the side surface W S or the peripheral round incline W R of the semiconductor wafer W at a portion lower than the apex portion of the cap 66 , and hold the semiconductor wafer W mainly in the direction parallel to the plate surface of the semiconductor wafer resistively to force (pressure) facing sideways from the semiconductor wafer W.
  • the volute spring 64 is scarcely (or a little) compressed and transformed ( FIG. 11 ).
  • the spring-adhered protruding portion 62 where a head portion of the cap 66 contacts the peripheral round incline W R of the semiconductor wafer W receives a weight in the axis direction, the volute spring 64 is a little compressed and transformed ( FIG. 12 ).
  • the substrate holder 50 includes the pad main body 60 fixed to the holding surface of the transfer arm 12 ( 14 ), and the plurality of spring-adhered protruding portions 62 standing and provided close together on the top surface of the pad main body 60 , where some of the spring-adhered protruding portions 62 on the pad main body 60 hide beneath the semiconductor wafer W and others of the spring-adhered protruding portions 62 are exposed outside the semiconductor wafer W while holding the periphery portion of the semiconductor wafer W.
  • the spring-adhered protruding portion 62 hiding beneath the semiconductor wafer W contacts the rear surface W B of the semiconductor wafer W, and the volute spring 64 is compressed and transformed in the axis direction, thereby sinking the semiconductor wafer W by a suitable depth via gravity so as to hold the semiconductor wafer W mainly in the length direction. Also, some of the spring-adhered protruding portions 62 exposed near the periphery portion of the semiconductor wafer W contact the side surface W S or the peripheral round incline W R of the semiconductor wafer W, and the volute spring 64 is compressed and transformed scarcely or a little in the axis direction, and thus the semiconductor wafer W is held mainly in the width direction.
  • Diameters, heights, cap shapes, arrangement density, and spring coefficients of the spring-adhered protruding portions 62 , the weight of the semiconductor wafer W, etc. may be set as parameters to arbitrarily adjust the wafer holding force in each of the length and width directions.
  • the weight thereof is 130 g.
  • a moving speed of the transfer arm 12 ( 14 ) is 0.5 m/sec and stops at 1 second
  • acceleration at the stop is 0.5 m/sec 2
  • the number of protruding portions 62 resisting against the force in the width direction with respect to two substrate holders 50 in an arm front portion is, for example, 20, a load per one is about 3 gm/sec 2 . Accordingly, the strength of the protruding portion 62 may be designed such as to endure the load of about 3 gm/sec 2 in the width direction.
  • the sunken amount of the semiconductor wafer W may be less than or equal to the thickness thereof (for example, 0.8 mm).
  • the number of protruding portions 62 receiving the weight of the semiconductor wafer W in the example of FIG. 2 , the number of protruding portions 62 receiving the weight of the semiconductor wafer W with respect to the four substrate holders 50 at arm front and rear portions
  • an elastic characteristic of the protruding portion 62 may be designed such that the protruding portion 62 is definitely transformed or displaced downward by the sunken amount less than or equal to 0.8 mm with respect to the load of about 0.5 g.
  • the strength in the width direction and the elastic characteristic in the length direction with respect to the protruding portion 62 described above are equally applied to the protruding portion 54 according to the first embodiment.
  • the substrate holder 50 of the second embodiment is capable of showing the same operation as the substrate holder of the first embodiment, is detachably and simply attached to the transfer arm 12 ( 14 ) at a low price without any special work, is not affected by the rear surface state or bending of the semiconductor wafer W, and stably holds the substrate at a proper position even if a holding location of the semiconductor wafer W on the holding surface of the transfer arm 12 ( 14 ) is somewhat misaligned.
  • the substrate holder 50 of the second embodiment since elastic displacement of the protruding portion 62 is performed by a spring made of a metal material, the substrate holder 50 has high advantages in realization, stability, and durability of substrate holding.
  • the substrate holder 50 of the second embodiment is formed of metal or ceramic
  • the substrate holder 50 has advantages of maintaining a holding force of a substrate while having high thermal resistance.
  • the substrate holder 50 may be formed of a softer material than a semiconductor wafer, for example, thermal resistant plastic.
  • the protruding portions 62 of the substrate holder 50 may be grounded. Accordingly, a spark may be prevented from being generated between the semiconductor wafer W charged after a plasma process, and an element in the processing apparatus.
  • the spring of the protruding portion 62 is not limited to the volute spring, and for example, as shown in FIG. 13 , a compressed coil spring 68 may also be used.
  • the compressed coil spring 68 is accommodated in a counterbore hole 70 formed in the length direction on the top surface of the pad main body 60 , and elastically transforms in the length direction with respect to weight or pressure applied form the semiconductor wafer W, i.e., in the direction perpendicular to the holding surface of the transfer arm 12 ( 14 ).
  • a bottom of the compressed coil spring 68 is fixed to a bottom of the counterbore hole 70 , and the cap 66 having a cylindrical shape covers a top portion of the compressed coil spring 68 .
  • An inner wall of the counterbore hole 70 includes a container shape guide portion for guiding the cap 66 in the length direction, and a stopper for defining an uppermost location of a shoulder portion 66 a (further, the apex portion) of the cap 66 .
  • FIG. 14 shows an external structure of the substrate holder 50 in the second modified example
  • FIG. 15 is a magnified view thereof.
  • the substrate holder 50 in the second modified example also includes the pad main body 60 detachably fixed to the top surface of the transfer arm 12 ( 14 ), for example, by using the bolt 58 , and the plurality of (preferably many) protruding portions 62 capable of being elastically displaced and accommodated in the counterbore hole 70 on the top surface of the pad main body 60 , where each protruding portion 62 includes the spring member.
  • a main difference from the first modified example is that the number of protruding portions 62 is largely reduced and an arrangement pattern of the protruding portions 62 are intently considered.
  • the total number of protruding portions 62 provided on a top surface of the pad main body 60 is reduced to, for example, less than or equal to 10, and arrangement density is reduced, thereby reducing spring power of the protruding portion 62 receiving the weight of the semiconductor wafer W. Accordingly, the semiconductor wafer W can be further definitely sunken.
  • the sunken amount D may be equal to the thickness T of the semiconductor wafer W.
  • the plurality of protruding portions 62 are arranged at regular intervals on a V-shaped line, where a peak in an axial symmetric to a straight line N passing through a center point O of the holding surface of the entire arm (or the center point at a reference wafer holding location) and a center of the pad main body 60 faces the center point O, and a V pattern is provided in a plurality of rows (two rows in the shown example) along the straight line N.
  • the pad main body 60 is attached in a recess portion 72 formed on the top surface of the transfer arm 12 ( 14 ), and accordingly, the transfer arm 12 ( 14 ) including the substrate holder 50 is thinned.
  • a chamfering operation or an R operation 66 b may be suitably performed on the apex portion of the cap 66 of the protruding portion 62 as shown in FIG.
  • a structure with rotatably burying a rigid ball in the apex portion of the cap 66 such that only a top portion thereof is exposed (ball joint) may be employed.
  • the protruding portions 62 that are sunken after the semiconductor wafer W is placed thereon may not be completely sunken in the pad main body 60 (i.e., the apex portion of the cap 66 may stick up a little).
  • side clearance in the counterbore hole 70 of the pad main body 60 may be suitably increased.
  • a metal is preferable in terms of durability
  • resin specifically, Teflon (registered trademark) or PEEK (brand name)
  • ceramic silicon carbide or alumina
  • quartz is preferable in terms of thermal resistance
  • a ceramic spring or a carbon spring is used so as to form all parts or elements of the substrate holder 50 from a ceramic or carbon material.
  • the substrate holder 50 of the above embodiment may be applied to the transfer arms 22 and 24 of the single type atmosphere transfer robot (substrate transfer robot) 20 provided in the loader transfer chamber LM.
  • a target substrate in the present invention is not limited to a semiconductor wafer, and may be any one of various substrates for FPD (specifically organic EL and liquid crystal panel), a photo mask, a print substrate, etc. Accordingly, for example, as shown in FIG. 17 , the substrate holder 50 of the above embodiment may be attached to a transfer arm 74 of a substrate transfer apparatus for FPD.
  • FPD organic EL and liquid crystal panel
  • the transfer arm 74 of FIG. 17 includes a pair of outer support portion 78 and a pair of inner support portion 80 extending forward in parallel from an arm body 76 .
  • a claw portion 78 a protruding inward to hold a periphery portion on right and left sides of a rectangular substrate G for FPD is formed at a leading end of the outer support portion 78 that is relatively short, where the substrate holder 50 is attached to a top surface of the claw portion 78 a .
  • leading end portion 80 a of the inner support portion 80 that is relatively long sticks out a little than a front periphery portion of the rectangular substrate G, and thus the substrate holder 50 is also attached to the leading end portion 80 a .
  • a base portion 80 b of the inner support portion 80 sticks out a little than a rear periphery portion of the rectangular substrate G, and thus the substrate holder 50 is also attached to the base portion 80 b .
  • rectangular or circular openings 82 provided in places on the arm body 76 are holes for a light weight.
  • the substrate transfer apparatus for FPD can arbitrarily and freely select an arm position, an arm moving trajectory, and a transfer speed when the transfer arm 74 holds and transfers the rectangular substrate G, without being restricted by the substrate holding portion, and thus transfer capability can be improved. Also, since the transfer capability of the substrate transfer apparatus is improved, throughput of the substrate processing apparatus for FPD or the multi single type inline process system is improved.
  • substrate holders attached to a transfer arm do not all have to be the substrate holder of the present invention, and a conventional substrate holder and the substrate holder of the present invention may be used together. Accordingly, for example, in the transfer arm 12 ( 14 ) of FIG. 2 or the transfer arm 74 of FIG. 17 , only a substrate holder attached to an arm leading end portion that easily tilts forward may be the substrate holder of the present invention, and conventional, for example, tapered pad type substrate holders may be used for other substrate holders.
  • the substrate holder of the present invention is not limited to the transfer arm of the substrate transfer apparatus, and may be applied to a arbitrary transfer body or moving body transferring or moving a substrate or plate shaped body by holding the substrate or plate shaped body.
  • the substrate or plate shaped body held by the substrate holder of the present invention on the transfer body or moving body is not limited to a horizontal position or a position facing upward, and may have a position where a main or target surface faces downward or a largely tilted position, or extremely, may have a vertical position.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)
US13/577,019 2010-02-05 2011-02-02 Substrate holder, substrate transfer apparatus, and substrate processing apparatus Abandoned US20120315113A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010024283 2010-02-05
JP2010-024283 2010-02-05
PCT/JP2011/000576 WO2011096208A1 (ja) 2010-02-05 2011-02-02 基板保持具及び基板搬送装置及び基板処理装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2011/000576 A-371-Of-International WO2011096208A1 (ja) 2010-02-05 2011-02-02 基板保持具及び基板搬送装置及び基板処理装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/091,639 Continuation US9406539B2 (en) 2010-02-05 2013-11-27 Substrate transfer apparatus

Publications (1)

Publication Number Publication Date
US20120315113A1 true US20120315113A1 (en) 2012-12-13

Family

ID=44355223

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/577,019 Abandoned US20120315113A1 (en) 2010-02-05 2011-02-02 Substrate holder, substrate transfer apparatus, and substrate processing apparatus
US14/091,639 Active 2032-02-10 US9406539B2 (en) 2010-02-05 2013-11-27 Substrate transfer apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/091,639 Active 2032-02-10 US9406539B2 (en) 2010-02-05 2013-11-27 Substrate transfer apparatus

Country Status (6)

Country Link
US (2) US20120315113A1 (ja)
JP (1) JP5258981B2 (ja)
KR (1) KR101259862B1 (ja)
CN (1) CN102741995A (ja)
TW (1) TWI412101B (ja)
WO (1) WO2011096208A1 (ja)

Cited By (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120306137A1 (en) * 2011-06-03 2012-12-06 Arthur Keigler Parallel single substrate processing system
US20130078059A1 (en) * 2011-09-22 2013-03-28 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US20140127881A1 (en) * 2012-11-02 2014-05-08 Toyota Jidosha Kabushiki Kaisha Support disk fixing apparatus, manufacturing method for a semiconductor device using this apparatus, and semiconductor manufacturing apparatus
US20140284321A1 (en) * 2013-03-21 2014-09-25 Tokyo Electron Limited Magnetic annealing apparatus
US20140306474A1 (en) * 2013-04-12 2014-10-16 Varian Semiconductor Equipment Associates, Inc. Spring retained end effector contact pad
US20150183117A1 (en) * 2013-12-27 2015-07-02 Fanuc Corporation Object conveyance system including retracting device
US20150200122A1 (en) * 2014-01-13 2015-07-16 Psk Inc. Substrate transfer apparatus and method, and substrate processing apparatus
EP2791034A4 (en) * 2011-12-16 2015-07-29 Brooks Automation Inc TRANSPORTER
US20150287626A1 (en) * 2014-04-03 2015-10-08 Asm Ip Holding B.V. Anti-Slip End Effector For Transporting Workpiece Using Van Der Waals Force
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US20160240425A1 (en) * 2015-02-16 2016-08-18 Tokyo Electron Limited Substrate holding mechanism and substrate processing apparatus using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN106856186A (zh) * 2015-12-08 2017-06-16 上海微电子装备有限公司 一种硅片交接精度控制装置、硅片吸附台、硅片传输系统及硅片交接方法
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9969551B2 (en) 2014-11-12 2018-05-15 Daifuku Co., Ltd. Article transport facility
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US20180311832A1 (en) * 2016-12-15 2018-11-01 Jabil Inc. Apparatus, system and method for providing a conformable vacuum cup for an end effector
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN109786312A (zh) * 2017-11-15 2019-05-21 福建钧石能源有限公司 一种太阳能电池载板
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395961B2 (en) 2016-09-29 2019-08-27 SCREEN Holdings Co., Ltd. Posture changing device
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11348823B2 (en) * 2013-09-16 2022-05-31 Applied Materials, Inc. Compliant robot blade for substrate support and transfer
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11376744B2 (en) * 2017-05-22 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of handling a substrate
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033849B2 (en) 2022-12-08 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9004564B2 (en) * 2013-03-13 2015-04-14 Varian Semiconductor Equipment Associates, Inc. Wafer handling apparatus
WO2016017485A1 (ja) * 2014-07-29 2016-02-04 シャープ株式会社 基板処理装置
JP2017035743A (ja) * 2015-08-07 2017-02-16 日東電工株式会社 搬送装置
JP6777985B2 (ja) * 2015-11-19 2020-10-28 株式会社荏原製作所 基板保持装置
JP6357187B2 (ja) * 2016-03-31 2018-07-11 キヤノン株式会社 搬送装置、リソグラフィ装置、および物品の製造方法
JP6298099B2 (ja) * 2016-05-18 2018-03-20 キヤノントッキ株式会社 基板搬送装置
EP3482259B1 (en) 2016-07-06 2024-01-10 ASML Netherlands B.V. A substrate holder and a method of manufacturing a substrate holder
JP6774714B2 (ja) * 2016-07-25 2020-10-28 株式会社アドテックエンジニアリング ワークステージ及び露光装置
US10600668B2 (en) * 2017-03-30 2020-03-24 Sharp Kabushiki Kaisha Adsorption device, conveyance device, and EL device manufacturing device
CN108983552B (zh) * 2017-05-31 2020-01-24 上海微电子装备(集团)股份有限公司 一种移入移出机构及光刻机工件台移入移出装置
DE102018004436A1 (de) * 2017-06-06 2018-12-06 Solaytec B.V. Wafergreifer-einheit, system und verwendung davon
KR102206687B1 (ko) * 2017-06-26 2021-01-22 니뽄 도쿠슈 도교 가부시키가이샤 기판 유지 부재
KR102492533B1 (ko) 2017-09-21 2023-01-30 삼성전자주식회사 지지 기판, 이를 이용한 반도체 패키지의 제조방법 및 이를 이용한 전자 장치의 제조 방법
JP6353969B1 (ja) * 2017-11-29 2018-07-04 株式会社ユー・エム・アイ 搬送具と搬送方法と搬送具ユニット
CN108706342A (zh) * 2018-07-30 2018-10-26 安徽旭能电力股份有限公司 一种可调式太阳能玻璃的防护输送设备
JP7131334B2 (ja) * 2018-11-29 2022-09-06 株式会社安川電機 基板支持装置、基板搬送ロボットおよびアライナ装置
CN109625970B (zh) * 2019-01-23 2020-10-30 深圳市华星光电技术有限公司 基板搬运机械手
US11600580B2 (en) * 2019-02-27 2023-03-07 Applied Materials, Inc. Replaceable end effector contact pads, end effectors, and maintenance methods
CN110112588A (zh) * 2019-06-19 2019-08-09 广东电网有限责任公司 一种接地线五防系统
KR102301114B1 (ko) * 2019-09-06 2021-09-10 주식회사 글린트머티리얼즈 이중 구조의 미끄럼 방지 패드 및 이를 구비한 웨이퍼 이송 로봇
JP7256728B2 (ja) * 2019-10-04 2023-04-12 株式会社荏原製作所 基板ホルダ及び基板処理装置
CN112682465A (zh) * 2019-10-17 2021-04-20 夏泰鑫半导体(青岛)有限公司 承载装置及半导体制造装置的加载部件
CN111334782B (zh) * 2020-02-28 2022-05-27 北京北方华创微电子装备有限公司 半导体设备及其电极装置
KR20220027475A (ko) * 2020-08-27 2022-03-08 주식회사 글린트머티리얼즈 관통홀을 포함한 반도체 웨이퍼 이송용 로봇암 블레이드 및 이에 장착되는 미끄럼 방지 패드
CN117721429B (zh) * 2024-02-08 2024-04-23 成都国泰真空设备有限公司 磁控溅射镀膜设备

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5722646A (en) * 1995-08-29 1998-03-03 Cna Manufacturing Systems, Inc. Flexible tooling apparatus
US5984293A (en) * 1997-06-25 1999-11-16 Mcms, Inc. Apparatus for holding printed circuit board assemblies in manufacturing processes
US6056026A (en) * 1998-12-01 2000-05-02 Asyst Technologies, Inc. Passively activated valve for carrier purging
US20020071756A1 (en) * 2000-12-13 2002-06-13 Gonzalez Jose R. Dual wafer edge gripping end effector and method therefor
US6497403B2 (en) * 2000-12-28 2002-12-24 Memc Electronic Materials, Inc. Semiconductor wafer holder
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6726195B1 (en) * 1998-10-13 2004-04-27 Dek International Gmbh Method for ensuring planarity when using a flexible, self conforming, workpiece support system
US20050063800A1 (en) * 2002-02-22 2005-03-24 Applied Materials, Inc. Substrate support
US7147795B2 (en) * 2003-09-30 2006-12-12 Seiko Epson Corporation Method for surface treatment
US20060291988A1 (en) * 2005-06-28 2006-12-28 Wataru Machiyama Transfer apparatus for target object
US20080181750A1 (en) * 2007-01-26 2008-07-31 Tokyo Electron Limited Gate valve cleaning method and substrate processing system
US7490878B1 (en) * 2003-12-29 2009-02-17 Storage Technology Corporation ESD safe vacuum wand tip
US7950639B2 (en) * 2004-06-17 2011-05-31 Siemens Aktiengesellschaft Device for placing an object

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3097720B2 (ja) * 1993-03-29 2000-10-10 大日本スクリーン製造株式会社 基板支持アーム
US5626675A (en) * 1993-11-18 1997-05-06 Tokyo Electron Limited Resist processing apparatus, substrate processing apparatus and method of transferring a processed article
JP3437559B2 (ja) 1993-12-24 2003-08-18 東京エレクトロン株式会社 処理装置
JP2000003951A (ja) 1998-06-16 2000-01-07 Tokyo Electron Ltd 搬送装置
JP2003077980A (ja) * 2001-09-06 2003-03-14 Yaskawa Electric Corp ウェハ搬送用ハンド
JP4038653B2 (ja) * 2001-12-03 2008-01-30 株式会社安川電機 ウェハ搬送フォーク
JP4841183B2 (ja) * 2005-06-28 2011-12-21 東京エレクトロン株式会社 基板処理装置,搬送装置,搬送装置の制御方法
JP4740414B2 (ja) * 2007-04-24 2011-08-03 東京エレクトロン株式会社 基板搬送装置
JP4922915B2 (ja) * 2007-12-28 2012-04-25 大日本スクリーン製造株式会社 基板処理装置および基板の芯合わせ方法
KR101208644B1 (ko) * 2009-07-03 2012-12-06 도쿄엘렉트론가부시키가이샤 위치 이탈 방지 장치, 이를 구비한 기판 보지구, 기판 반송 장치 및 기판 반송 방법
JP5357694B2 (ja) * 2009-07-03 2013-12-04 東京エレクトロン株式会社 位置ずれ防止装置、これを備えた基板保持具、基板搬送装置および基板搬送方法

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5722646A (en) * 1995-08-29 1998-03-03 Cna Manufacturing Systems, Inc. Flexible tooling apparatus
US5984293A (en) * 1997-06-25 1999-11-16 Mcms, Inc. Apparatus for holding printed circuit board assemblies in manufacturing processes
US6726195B1 (en) * 1998-10-13 2004-04-27 Dek International Gmbh Method for ensuring planarity when using a flexible, self conforming, workpiece support system
US6056026A (en) * 1998-12-01 2000-05-02 Asyst Technologies, Inc. Passively activated valve for carrier purging
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20020071756A1 (en) * 2000-12-13 2002-06-13 Gonzalez Jose R. Dual wafer edge gripping end effector and method therefor
US6497403B2 (en) * 2000-12-28 2002-12-24 Memc Electronic Materials, Inc. Semiconductor wafer holder
US20050063800A1 (en) * 2002-02-22 2005-03-24 Applied Materials, Inc. Substrate support
US7147795B2 (en) * 2003-09-30 2006-12-12 Seiko Epson Corporation Method for surface treatment
US7490878B1 (en) * 2003-12-29 2009-02-17 Storage Technology Corporation ESD safe vacuum wand tip
US7950639B2 (en) * 2004-06-17 2011-05-31 Siemens Aktiengesellschaft Device for placing an object
US20060291988A1 (en) * 2005-06-28 2006-12-28 Wataru Machiyama Transfer apparatus for target object
US20080181750A1 (en) * 2007-01-26 2008-07-31 Tokyo Electron Limited Gate valve cleaning method and substrate processing system

Cited By (476)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9293356B2 (en) * 2011-06-03 2016-03-22 Tel Nexx, Inc. Parallel single substrate processing system
US20120306137A1 (en) * 2011-06-03 2012-12-06 Arthur Keigler Parallel single substrate processing system
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US8985929B2 (en) * 2011-09-22 2015-03-24 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US20130078059A1 (en) * 2011-09-22 2013-03-28 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US11420337B2 (en) 2011-12-16 2022-08-23 Brooks Automation Us, Llc Transport apparatus
US10137576B2 (en) 2011-12-16 2018-11-27 Brooks Automation, Inc. Transport apparatus
US10556351B2 (en) 2011-12-16 2020-02-11 Brooks Automation, Inc. Transport apparatus
EP2791034A4 (en) * 2011-12-16 2015-07-29 Brooks Automation Inc TRANSPORTER
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US9011632B2 (en) * 2012-11-02 2015-04-21 Toyota Jidosha Kabushiki Kaisha Support disk fixing apparatus, manufacturing method for a semiconductor device using this apparatus, and semiconductor manufacturing apparatus
US20140127881A1 (en) * 2012-11-02 2014-05-08 Toyota Jidosha Kabushiki Kaisha Support disk fixing apparatus, manufacturing method for a semiconductor device using this apparatus, and semiconductor manufacturing apparatus
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140284321A1 (en) * 2013-03-21 2014-09-25 Tokyo Electron Limited Magnetic annealing apparatus
US10297481B2 (en) * 2013-03-21 2019-05-21 Tokyo Electron Limited Magnetic annealing apparatus
US20140306474A1 (en) * 2013-04-12 2014-10-16 Varian Semiconductor Equipment Associates, Inc. Spring retained end effector contact pad
US8864202B1 (en) * 2013-04-12 2014-10-21 Varian Semiconductor Equipment Associates, Inc. Spring retained end effector contact pad
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US11348823B2 (en) * 2013-09-16 2022-05-31 Applied Materials, Inc. Compliant robot blade for substrate support and transfer
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150183117A1 (en) * 2013-12-27 2015-07-02 Fanuc Corporation Object conveyance system including retracting device
US9390957B2 (en) * 2014-01-13 2016-07-12 Psk Inc. Substrate transfer apparatus and method, and substrate processing apparatus
US20150200122A1 (en) * 2014-01-13 2015-07-16 Psk Inc. Substrate transfer apparatus and method, and substrate processing apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150287626A1 (en) * 2014-04-03 2015-10-08 Asm Ip Holding B.V. Anti-Slip End Effector For Transporting Workpiece Using Van Der Waals Force
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
TWI660903B (zh) * 2014-11-12 2019-06-01 Daifuku Co., Ltd. 物品搬送設備
US9969551B2 (en) 2014-11-12 2018-05-15 Daifuku Co., Ltd. Article transport facility
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10790182B2 (en) * 2015-02-16 2020-09-29 Tokyo Electron Limited Substrate holding mechanism and substrate processing apparatus using the same
KR102137855B1 (ko) * 2015-02-16 2020-07-24 도쿄엘렉트론가부시키가이샤 기판 유지 기구 및 이것을 사용한 기판 처리 장치
KR20160100828A (ko) * 2015-02-16 2016-08-24 도쿄엘렉트론가부시키가이샤 기판 유지 기구 및 이것을 사용한 기판 처리 장치
US20160240425A1 (en) * 2015-02-16 2016-08-18 Tokyo Electron Limited Substrate holding mechanism and substrate processing apparatus using the same
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN106856186A (zh) * 2015-12-08 2017-06-16 上海微电子装备有限公司 一种硅片交接精度控制装置、硅片吸附台、硅片传输系统及硅片交接方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10395961B2 (en) 2016-09-29 2019-08-27 SCREEN Holdings Co., Ltd. Posture changing device
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US20180311832A1 (en) * 2016-12-15 2018-11-01 Jabil Inc. Apparatus, system and method for providing a conformable vacuum cup for an end effector
US11027437B2 (en) * 2016-12-15 2021-06-08 Jabil Inc. Apparatus, system and method for providing a conformable vacuum cup for an end effector
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10518422B2 (en) * 2016-12-15 2019-12-31 Jabil Inc. Apparatus, system and method for providing a conformable vacuum cup for an end effector
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11752638B2 (en) 2017-05-22 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate handling device and processing chamber
US11376744B2 (en) * 2017-05-22 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of handling a substrate
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN109786312A (zh) * 2017-11-15 2019-05-21 福建钧石能源有限公司 一种太阳能电池载板
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US12040200B2 (en) 2018-04-25 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12033885B2 (en) 2021-01-04 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US12040184B2 (en) 2021-01-11 2024-07-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US12033861B2 (en) 2021-06-07 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US12040177B2 (en) 2021-08-13 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12040229B2 (en) 2022-11-18 2024-07-16 Asm Ip Holding B.V. Method for forming a structure with a hole
US12033849B2 (en) 2022-12-08 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Also Published As

Publication number Publication date
US9406539B2 (en) 2016-08-02
WO2011096208A1 (ja) 2011-08-11
TW201227868A (en) 2012-07-01
TWI412101B (zh) 2013-10-11
KR101259862B1 (ko) 2013-05-02
JPWO2011096208A1 (ja) 2013-06-10
JP5258981B2 (ja) 2013-08-07
US20140093336A1 (en) 2014-04-03
CN102741995A (zh) 2012-10-17
KR20120096599A (ko) 2012-08-30

Similar Documents

Publication Publication Date Title
US9406539B2 (en) Substrate transfer apparatus
US6678581B2 (en) Method of calibrating a wafer edge gripping end effector
US9343350B2 (en) Anti-slip end effector for transporting workpiece using van der waals force
US20180019107A1 (en) Focus ring replacement method and plasma processing system
TWI446477B (zh) 傳輸及處理基板用裝置及方法
US6408537B1 (en) Substrate cooling system
US20170110352A1 (en) Substrate carrier system
CN104271474A (zh) 输送设备
TWI776016B (zh) 薄板狀基板保持裝置及具備保持裝置之搬送機器人
US20100111650A1 (en) Automatic substrate loading station
US20090078374A1 (en) Apparatus and methods for transporting and processing substrates
KR100973610B1 (ko) 엔드 이펙터 및 엔드 이펙터를 구비한 로봇
US4816116A (en) Semiconductor wafer transfer method and arm mechanism
KR20110029092A (ko) 엣지그립 엔드이펙터
US20150016936A1 (en) Substrate transfer method and device
US4842680A (en) Advanced vacuum processor
KR102058985B1 (ko) 로드 스테이션
JP2021145091A (ja) 基板キャリア、成膜装置、及び成膜方法
US20040043513A1 (en) Method of transferring processed body and processing system for processed body
KR20130120857A (ko) 기판 이송 로봇 및 이를 이용한 멀티 챔버 기판 처리 장치와 그 제어 방법
US20040013503A1 (en) Robotic hand with multi-wafer end effector
JP2010239023A (ja) 基板搬送装置及び基板処理装置
US20240203777A1 (en) Apparatus for treating substrate
US20230091979A1 (en) End effector and substrate processing apparatus including end effector
EP2187433B1 (en) Apparatus and method for transporting and processing substrates

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HIROKI, TSUTOMU;REEL/FRAME:028853/0371

Effective date: 20120820

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION