US20140306474A1 - Spring retained end effector contact pad - Google Patents

Spring retained end effector contact pad Download PDF

Info

Publication number
US20140306474A1
US20140306474A1 US13/861,737 US201313861737A US2014306474A1 US 20140306474 A1 US20140306474 A1 US 20140306474A1 US 201313861737 A US201313861737 A US 201313861737A US 2014306474 A1 US2014306474 A1 US 2014306474A1
Authority
US
United States
Prior art keywords
contact pad
end effector
retaining
pocket
spring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/861,737
Other versions
US8864202B1 (en
Inventor
Michael A. Schrameyer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Priority to US13/861,737 priority Critical patent/US8864202B1/en
Assigned to VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. reassignment VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SCHRAMEYER, MICHAEL A.
Publication of US20140306474A1 publication Critical patent/US20140306474A1/en
Application granted granted Critical
Publication of US8864202B1 publication Critical patent/US8864202B1/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/0014Gripping heads and other end effectors having fork, comb or plate shaped means for engaging the lower surface on a object to be transported
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S294/00Handling: hand and hoist-line implements
    • Y10S294/902Gripping element
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Definitions

  • the disclosure relates generally to wear-resistant composite structures, and more particularly to a composite end effector having a retaining spring for removably attaching a removable contact pad to the end effector.
  • end effectors are adapted for lifting and moving the substrates. Since substrates can reach high temperatures during processing (e.g., >500° C.), end effectors generally are made from materials that exhibit good thermal stability and wear resistance at high temperatures. Examples of such materials include alumina, zirconia, silicon nitride, silicon carbide, and other ceramics.
  • composite end effectors offer several advantages relative to one-piece end effectors, still they have shortcomings.
  • the low thermal expansion and low tensile strength properties of ceramics relative to metals makes it difficult to achieve a secure, rigid connection between the two materials as is required for the construction of a composite end effector.
  • Prior designs have employed threaded connections, press-fit pads, and retaining rings.
  • threaded fasteners can impart stresses on ceramic contact pads during thermal cycling, which may result in cracking of the contact pads. Threaded fasteners may also become loose over time due to vibrations and/or thermal cycling. Press-fit pads typically cannot be made from ceramic are difficult to remove when replacement become necessary.
  • An exemplary end effector in accordance with the present disclosure may include an end effector body, a contact pad pocket formed in the end effector body, a spring retaining pocket formed in the end effector body adjacent the contact pad pocket and extending to an edge of the end effector body, and a pair of through-holes extending from the spring retaining pocket to the contact pad pocket.
  • the end effector may further include a contact pad seated within the contact pad pocket, the contact pad having at least one retaining channel formed therein, and a retaining spring having a pair of retaining arms extending from the retaining spring pocket through the through-holes and into the contact pad pocket.
  • the retaining arms may extend at least partially into the at least one retaining channel of the contact pad and may thereby restrict movement of the contact pad.
  • FIG. 1 is a perspective view illustrating an exemplary embodiment of an end effector in accordance with the present disclosure.
  • FIG. 2 is an exploded perspective view illustrating a lift arm and a corresponding contact pad installation of the end effector shown in FIG. 1 .
  • FIG. 3 is a cross-sectional view illustrating an exemplary contact pad for use with the end effector shown in FIG. 1 .
  • FIG. 4 is an exploded perspective view illustrating the exemplary contact pad of FIG. 3 and a corresponding contact pad pocket of the end effector shown in FIG. 1 .
  • FIG. 5 is a top view illustrating an exemplary retaining spring for use with the end effector shown in FIG. 1 .
  • FIG. 6 is a detailed perspective view illustrating installation of the contact pad of FIG. 3 with the end effector shown in FIG. 1 , including the retaining spring in an uninstalled position.
  • FIG. 7 is a top view illustrating a retaining spring pocket and a contact pad pocket of the end effector shown in FIG. 1 .
  • FIG. 8 is a cross-sectional view taken along line 8 - 8 of FIG. 7 illustrating the contact pad pocket shown in FIG. 7 .
  • FIG. 9 is a detailed perspective view illustrating a fully assembled contact pad installation in the end effector shown in FIG. 1 .
  • FIG. 1 illustrates an exemplary embodiment of a composite end effector 10 in accordance with the present disclosure.
  • terms such as “front,” “rear,” “top,” “bottom,” “right,” “left,” “up,” “down,” “inwardly,” “outwardly,” “lateral” and “longitudinal” will be used herein to describe the relative placement and orientation of components of the end effector 10 , each with respect to the geometry and orientation of the end effector 10 as it appears in FIG. 1 .
  • Said terminology will include the words specifically mentioned, derivatives thereof, and words of similar import.
  • the exemplary composite end effector 10 disclosed herein is configured for handling a variety of substrates, which in an exemplary embodiment includes silicon wafers. It will be appreciated by those of ordinary skill in the art that this particular configuration is disclosed by way of example only, and that the below-described arrangement for removably coupling the different components of the end effector 10 to one another may be similarly implemented in virtually any type of composite end effector configuration and, more generally, in other types of composite structures in which components that are formed of dissimilar materials must be coupled to one another. All such embodiments are contemplated and may be implemented without departing from the scope of the present disclosure.
  • the end effector 10 may include a substantially planar, V-shaped end effector body portion 12 .
  • the end effector body portion 12 may have a mounting portion 22 having a plurality of apertures 24 formed therethrough for facilitating attachment to a robotic arm, for example.
  • a pair of laterally-spaced lift arms 26 and 28 may extend from the mounting portion 22 and may be adapted for engaging and lifting a substrate.
  • a plurality of cutouts 30 may be formed in the end effector body portion 12 for reducing the overall weight of the end effector 10 , but this is not critical.
  • the particular shape and configuration of the end effector body portion 12 is presented by way of example only and may be varied to suit a particular application.
  • the end effector body portion 12 may be formed of any material that is suitably rigid, durable, and temperature-resistant (i.e., hot and/or cold resistant) for a particular application, such as supporting a hot silicon wafer that is disposed in close proximity to a top surface thereof while the wafer is being supported or moved by the end effector 10 .
  • the end effector body portion 12 may be formed of various metals, plastics, ceramics, or composite materials that exhibit good thermal stability and wear resistance at temperatures below ⁇ 100° C. and up to and exceeding 500° C.
  • the end effector 10 may further include a plurality of contact pad regions 13 , 15 , and 17 .
  • a first contact pad region 13 is disposed in a forward segment of the base portion 22
  • second and third contact pad regions 15 , 17 are disposed on respective lift arms 26 and 28 .
  • the positioning of the contact pad regions 13 , 15 and 17 is such that contact pads disposed therein can engage and lift a substrate when the end effector 10 is moved.
  • Each contact pad region 13 , 15 , and 17 may receive respective contact pads 16 , 18 , and 20 that are removably attached to the end effector body portion 12 as will be further described below.
  • the exemplary end effector 10 includes three contact pad regions 13 , 15 , and 17 , but it will be appreciated that alternative end effector configurations may be implemented that include a greater or fewer number of contact pad installations as may be desired for a particular application.
  • an end effector that is adapted for a “gripping” application i.e., for grasping workpieces
  • FIG. 2 shows the exemplary contact pad region 15 located on the left lift arm 26 of the end effector body portion 12 shown in FIG. 1 .
  • the contact pad regions 13 and 17 may be substantially the same as the contact pad region 15 , and it will therefore be understood that the following description of the contact pad region 15 may apply equally to the contact pad regions 13 and 17 .
  • the contact pad region 15 may include the contact pad 18 (introduced above), a contact pad pocket 30 , a retaining spring 32 , and a spring pocket 34 , each of which will now be described in-turn.
  • the contact pad 18 may be a substantially cylindrical member including an annular retaining channel 36 formed in a sidewall 37 thereof, and a locating cavity 38 formed in a bottom portion thereof, the purposes of which will be described below.
  • the contact pad 18 may additionally include a convex, top surface 40 for directly engaging a substrate positioned thereon, but this shape is not critical.
  • the top surface 40 of the contact pad 18 may be flat, peaked (i.e. cone-shaped), irregular, or may feature a plurality of protrusions for engaging a workpiece.
  • the contact pad 18 is shown as having a circular cross-sectional shape, but it is contemplated that the contact pad 18 may alternatively have a cross-sectional shape that is rectangular, triangular, oval, irregular, etc. It is further contemplated that instead of having a single, annular retaining channel 36 , the contact pad 18 may have two separate, diametrically-opposite retaining channels formed in its sidewall 37 as will become apparent below.
  • the contact pad 18 may be formed of any material that is suitably rigid, durable, and temperature-resistant for a particular application, such as directly engaging a bottom surface of a hot substrate while the substrate is being supported or moved by the end effector 10 .
  • the contact pad 18 may be formed of various metals, plastics, ceramics, or composite materials that exhibit good thermal stability and wear resistance at temperatures below 100° C. and up to and exceeding 500° C.
  • the contact pad 18 is made from a ceramic material.
  • the contact pad pocket 30 may be a cavity formed in the top surface of the left lift arm 26 .
  • the contact pad pocket 30 may have a tapered-oblong shape defined by opposing sidewalls 42 and 44 that converge as they extend away from an outer edge 45 ( FIG. 1 ) of the left lift arm 26 .
  • the rounded end 47 of the contact pad pocket 30 nearest the outer edge 45 of the left lift arm 26 (hereinafter referred to as “the proximal end”) may have a radius that is slightly greater than the radius of the contact pad 18 , and may have a depth “D” ( FIG. 8 ) that is less than the total height “H” ( FIG. 3 ) of the contact pad 18 .
  • the contact pad pocket 30 may further include opposing undercuts 46 and 48 formed in the sidewalls 42 and 44 , respectively, for receiving first and second retaining arms 60 and 62 of retaining spring 32 as further described below.
  • a cylindrical locating post 54 having a diameter and a height configured to be received in the locating cavity 38 of the contact pad 18 may extend upwardly from a bottom surface 55 of the proximal end of the contact pad pocket 30 .
  • the locating post 54 may thus be adapted for matingly engaging the locating cavity 38 of the contact pad 18 as further described below.
  • the contact pad 18 When the end effector 10 is fully assembled, the contact pad 18 may be seated within the proximal end of the contact pad pocket 30 (as best shown in FIG. 6 ), with the locating post 54 of the contact pad pocket 30 extending into the locating cavity 38 of the contact pad 18 .
  • the locating post 54 thereby secures the contact pad 18 against lateral movement within the contact pad pocket 30 and further prevents the contact pad 18 from being installed in the contact pad pocket 30 in an upside-down orientation.
  • the sidewall 37 of the contact pad 18 may be disposed in a close clearance relationship with the sidewalls 42 and 44 , the retaining channel 36 may be vertically aligned with the undercuts 46 and 48 , and the top surface 40 of the contact pad 18 may extend above the top surface of the end effector body portion 12 .
  • the locating cavity 38 and locating post 54 are shown in FIGS. 3 and 4 as being substantially cylindrical in shape, but it is contemplated that the locating cavity 38 and locating post 54 may have any shape or configuration that facilitates mating engagement therebetween for securing the lateral position of the contact pad 18 within the contact pad pocket 30 .
  • the contact pad 18 may include a plurality of locating cavities 38 and wherein the contact pad pocket 30 may include a plurality of corresponding locating posts 54 .
  • the locating cavity 38 and locating post 54 may be entirely omitted from the contact pad 18 and contact pad pocket 30 , respectively.
  • the retaining spring 32 may be a substantially U-shaped member having two laterally-spaced, substantially parallel retaining arms 60 and 62 extending from the ends of a cross member 64 .
  • the lateral distance between the retaining arms 60 and 62 may be slightly greater than the inner diameter of the annular retaining channel 36 ( FIG. 3 ) of the contact pad 18 , and each of the retaining arms 60 and 62 may have a cross-sectional size and shape that allow the retaining arms 60 and 62 to be at least partially received within the retaining channel 36 as further described below.
  • the retaining arms 60 and 62 may include respective, inwardly-curved portions 66 and 68 immediately adjacent the cross member 64 that define respective locking pockets 70 and 72 .
  • the retaining spring 32 may be formed of any material that is suitably resilient and durable and that is also sufficiently flexible for allowing the retaining arms 60 and 62 to be flexed apart (as further described below) upon the application of moderate manual force by a human of average strength.
  • the retaining spring 32 may be formed of various metals, plastics, or composite materials that provide the retaining spring 32 with a suitable, spring-like quality.
  • the spring pocket 34 may be a recess formed in a top surface of the left lift arm 26 and that extends from the outer edge 45 of the left lift arm 26 toward the contact pad pocket 30 .
  • the spring pocket 34 may be defined by a pair of opposing sidewalls 74 and 76 and an end wall 78 located adjacent the contact pad pocket 30 .
  • the end wall 78 may include a pair of laterally-spaced locating notches 80 and 82 formed therein, the purpose of which will be described below.
  • Through-holes 84 and 86 may extend from respective locating notches 80 and 82 through the end wall 78 to respective undercuts 46 and 48 of the contact pad pocket 30 (as best shown in FIG.
  • Each of the through-holes 84 and 86 may have a diameter or cross-sectional size and shape that are adapted to axially receive a respective one of the retaining arms 60 and 62 in a close clearance relationship therewith as further described below.
  • Laterally-spaced locking posts 88 and 90 may extend upwardly from a bottom surface 35 of the spring pocket 34 , adjacent the outer edge of the left lift arm 26 .
  • the locking posts 88 and 90 may be sized and shaped to interact with respective locking pockets 70 and 72 of the retaining spring 32 .
  • the locking posts 88 and 90 may be spaced apart by an amount roughly equal to the lateral distance between the locking pockets 70 and 72 .
  • the locking posts 88 and 90 are shown in the figures as having a circular-cylindrical shape, and the locking pockets 70 and 72 are shown as having rounded counters, but this is not critical.
  • the shapes of the locking posts 88 and 90 and locking pockets 70 and 72 may be varied as long as the locking posts 88 and 90 can be received and retained within the locking pockets 70 and 72 as further described below.
  • the locking posts 88 and 90 may have a square shape and the locking pockets 70 and 72 may alternatively have corresponding square contours.
  • the retaining arms 60 and 62 of the retaining spring 32 may be inserted into the respective through-holes 84 and 86 and the retaining spring 32 may be manually pushed in the direction of arrow “A” into the spring pocket 34 . If, upon an attempt to insert the retaining arms 60 and 62 into the through-holes 84 and 86 , the tips of the retaining arms 60 and 62 are not initially aligned with the through-holes 84 and 86 sufficiently to allow such insertion, the contours of the end wall 78 that define the locating notches 80 and 82 may provide assistance by guiding the tips of the retaining arms 60 and 62 into the respective through-holes 84 and 86 . It will therefore be appreciated that the locating notches 80 and 82 may be formed with any type of tapered or converging shape or configuration, such as a V-shape or a cone shape, which is suitable for providing such a guiding function.
  • the inwardly-curved portions 66 and 68 of the retaining arms 60 and 62 may be brought into engagement with the locking posts 88 and 90 .
  • the inwardly-curved portions 66 and 68 of the spring may be caused to flex outwardly around the locking posts 88 and 90 as they pass the posts.
  • the portions 66 , 68 pass the posts 88 , 90 , they may flex back inward, locking the spring within the spring pocket 34 to assume the assembled position shown in FIG. 9 .
  • the retaining spring 32 is thus secured further movement absent the application additional manual force.
  • the retaining arms 60 and 62 extend into the converging undercuts 46 and 48 of the tapered contact pad pocket 30 (see FIG. 8 ).
  • the inter-engagement between the undercuts 46 , 48 and the retaining arms 60 and 62 forces the arms laterally-inwardly toward one another so that they engage the retaining channel 36 ( FIG. 3 ) of the contact pad 18 .
  • the engagement between the retaining arms 60 and 62 and the retaining channel 36 thus locks the contact pad 18 vertically with respect to the contact pad pocket 30 .
  • the contact pad 18 can also be secured against lateral movement by mating engagement between the locating cavity 38 and locating post 64 (see FIGS. 3 and 4 ).
  • the contact pad 18 and end effector body portion 12 are able to expand and contract relative to one another, such as may occur during thermal cycling, with the retaining arms 60 and 62 flexing outwardly and inwardly to accommodate such expansion and contraction without the contact pad's attachment to the end effector body portion 12 becoming loose and without causing damage to the contact pad 18 or to the end effector body portion 12 .
  • the disclosed arrangement ensures that any particulate matter that may be produced by engagement between the contact pad 18 and substrates, or by frictional engagement between the contact pad 18 and the retaining spring 32 that may result from acceleration and/or thermal expansion forces, may fall into into the contact pad pocket 30 where it may be collected and removed. This prevents the particulate from raining down on other substrates or other surfaces in the associated load lock.
  • the retaining spring 32 may be manually withdrawn (e.g., pulled in the opposite direction of arrow “A” in FIG. 6 ) from the retaining spring pocket 34 and the contact pad 18 may be removed from the contact pad pocket 30 .
  • a new contact pad may then be placed in the contact pad pocket 30 and the retaining spring 32 may be reinserted into the retaining spring pocket 30 in the manner described above.
  • the composite end effector 10 of the present disclosure thus provides a convenient arrangement for securely and removably attaching material-contacting portions of the end effector 10 to a non-material-contacting portion of the end effector 10 .
  • the disclosed arrangement also permits relative thermal expansion and contraction of the material-contacting portions and non-material-contacting portion without the pad becoming loose or damaging the end effector 10 and/or a carried substrate.
  • the composite end effector 10 of the present disclosure can mitigate the dispersion of particulate matter generated during substrate transfer and processing operations.

Abstract

An end effector is disclosed for use in substrate processing. The end effector includes a effector body portion, a contact pad pocket formed in the end effector body, a spring retaining pocket formed in the end effector body adjacent the contact pad pocket and extending to an edge of the end effector body, and a pair of through-holes extending from the spring retaining pocket to the contact pad pocket. The end effector can include a contact pad seated within the contact pad pocket, the contact pad having at least one retaining channel formed therein, and a retaining spring having a pair of retaining arms extending from the retaining spring pocket through the through-holes and into the contact pad pocket. The retaining arms may extend at least partially into the at least one retaining channel of the contact pad and may thereby restrict movement of the contact pad.

Description

    FIELD OF THE DISCLOSURE
  • The disclosure relates generally to wear-resistant composite structures, and more particularly to a composite end effector having a retaining spring for removably attaching a removable contact pad to the end effector.
  • BACKGROUND OF THE DISCLOSURE
  • During production, substrates are often handled by robotic arms that are equipped with specialized tools, or “end effectors,” that are adapted for lifting and moving the substrates. Since substrates can reach high temperatures during processing (e.g., >500° C.), end effectors generally are made from materials that exhibit good thermal stability and wear resistance at high temperatures. Examples of such materials include alumina, zirconia, silicon nitride, silicon carbide, and other ceramics.
  • Conventional high-temperature end effectors are often made entirely from ceramic. One problem associated with such a construction is that when the substrate-contacting portions of such end effectors become excessively worn or contaminated from use, the entire end effector must be replaced. Such replacement can be expensive as well as wasteful, since the non-material-contacting portions of the end effector may exhibit little or no wear at the time of replacement.
  • One approach to this problem has been to use composite end effectors, which include substrate-contacting portions that are removably attached to non-material-contacting portions. In some cases, several small contact pads formed of ceramic material are removably attached to the end effector body, which can be made of metal. During use, only the ceramic contact pads contact with hot substrates and thus experience wear over time. When the contact pads become worn or contaminated they are removed and replaced with new contact pads. The end effector body itself needn't be replaced and is thereby preserved.
  • Although composite end effectors offer several advantages relative to one-piece end effectors, still they have shortcomings. For example, the low thermal expansion and low tensile strength properties of ceramics relative to metals makes it difficult to achieve a secure, rigid connection between the two materials as is required for the construction of a composite end effector. Prior designs have employed threaded connections, press-fit pads, and retaining rings. Each of these fastening arrangements, however, exhibits particular deficiencies. For example, threaded fasteners can impart stresses on ceramic contact pads during thermal cycling, which may result in cracking of the contact pads. Threaded fasteners may also become loose over time due to vibrations and/or thermal cycling. Press-fit pads typically cannot be made from ceramic are difficult to remove when replacement become necessary. Retaining rings that clamp pads to an end effector can generate particles due to relative motion. Such particles can rain down on other silicon wafers which can be detrimental to their quality. Thus, there is a need for an improved design for a replaceable contact pad that overcomes the deficiencies associated with prior designs.
  • SUMMARY
  • In view of the foregoing, it would be advantageous to provide a composite end effector having material-contacting portions that are securely attached to a non-material-contacting portion. It would further be advantageous to provide such an end effector wherein the material-contacting portions may be easily removed from the non-material-contacting portion and replaced. It would also be advantageous to provide such an end effector wherein the arrangement for attaching the material-contacting portions to the non-material-contacting portion permits relative thermal expansion and contraction without loosening, and without damaging the end effector. It would further be advantageous to provide such an end effector that mitigates the dispersion of particulate matter.
  • An exemplary end effector in accordance with the present disclosure may include an end effector body, a contact pad pocket formed in the end effector body, a spring retaining pocket formed in the end effector body adjacent the contact pad pocket and extending to an edge of the end effector body, and a pair of through-holes extending from the spring retaining pocket to the contact pad pocket. The end effector may further include a contact pad seated within the contact pad pocket, the contact pad having at least one retaining channel formed therein, and a retaining spring having a pair of retaining arms extending from the retaining spring pocket through the through-holes and into the contact pad pocket. The retaining arms may extend at least partially into the at least one retaining channel of the contact pad and may thereby restrict movement of the contact pad.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a perspective view illustrating an exemplary embodiment of an end effector in accordance with the present disclosure.
  • FIG. 2 is an exploded perspective view illustrating a lift arm and a corresponding contact pad installation of the end effector shown in FIG. 1.
  • FIG. 3 is a cross-sectional view illustrating an exemplary contact pad for use with the end effector shown in FIG. 1.
  • FIG. 4 is an exploded perspective view illustrating the exemplary contact pad of FIG. 3 and a corresponding contact pad pocket of the end effector shown in FIG. 1.
  • FIG. 5 is a top view illustrating an exemplary retaining spring for use with the end effector shown in FIG. 1.
  • FIG. 6 is a detailed perspective view illustrating installation of the contact pad of FIG. 3 with the end effector shown in FIG. 1, including the retaining spring in an uninstalled position.
  • FIG. 7 is a top view illustrating a retaining spring pocket and a contact pad pocket of the end effector shown in FIG. 1.
  • FIG. 8 is a cross-sectional view taken along line 8-8 of FIG. 7 illustrating the contact pad pocket shown in FIG. 7.
  • FIG. 9 is a detailed perspective view illustrating a fully assembled contact pad installation in the end effector shown in FIG. 1.
  • DETAILED DESCRIPTION
  • An improved composite end effector in accordance with the present disclosure will now be described more fully hereinafter with reference to the accompanying drawings, in which preferred embodiments of the invention are shown. The disclosed end effector, however, may be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. In the drawings, like numbers refer to like elements throughout.
  • FIG. 1 illustrates an exemplary embodiment of a composite end effector 10 in accordance with the present disclosure. For the sake of convenience and clarity, terms such as “front,” “rear,” “top,” “bottom,” “right,” “left,” “up,” “down,” “inwardly,” “outwardly,” “lateral” and “longitudinal” will be used herein to describe the relative placement and orientation of components of the end effector 10, each with respect to the geometry and orientation of the end effector 10 as it appears in FIG. 1. Said terminology will include the words specifically mentioned, derivatives thereof, and words of similar import.
  • The exemplary composite end effector 10 disclosed herein is configured for handling a variety of substrates, which in an exemplary embodiment includes silicon wafers. It will be appreciated by those of ordinary skill in the art that this particular configuration is disclosed by way of example only, and that the below-described arrangement for removably coupling the different components of the end effector 10 to one another may be similarly implemented in virtually any type of composite end effector configuration and, more generally, in other types of composite structures in which components that are formed of dissimilar materials must be coupled to one another. All such embodiments are contemplated and may be implemented without departing from the scope of the present disclosure.
  • The end effector 10 may include a substantially planar, V-shaped end effector body portion 12. The end effector body portion 12 may have a mounting portion 22 having a plurality of apertures 24 formed therethrough for facilitating attachment to a robotic arm, for example. A pair of laterally-spaced lift arms 26 and 28 may extend from the mounting portion 22 and may be adapted for engaging and lifting a substrate. A plurality of cutouts 30 may be formed in the end effector body portion 12 for reducing the overall weight of the end effector 10, but this is not critical. Again, the particular shape and configuration of the end effector body portion 12 is presented by way of example only and may be varied to suit a particular application.
  • The end effector body portion 12 may be formed of any material that is suitably rigid, durable, and temperature-resistant (i.e., hot and/or cold resistant) for a particular application, such as supporting a hot silicon wafer that is disposed in close proximity to a top surface thereof while the wafer is being supported or moved by the end effector 10. For example, it is contemplated that the end effector body portion 12 may be formed of various metals, plastics, ceramics, or composite materials that exhibit good thermal stability and wear resistance at temperatures below −100° C. and up to and exceeding 500° C.
  • The end effector 10 may further include a plurality of contact pad regions 13, 15, and 17. As shown, a first contact pad region 13 is disposed in a forward segment of the base portion 22, while second and third contact pad regions 15, 17 are disposed on respective lift arms 26 and 28. As will be appreciated, the positioning of the contact pad regions 13, 15 and 17 is such that contact pads disposed therein can engage and lift a substrate when the end effector 10 is moved. Each contact pad region 13, 15, and 17 may receive respective contact pads 16, 18, and 20 that are removably attached to the end effector body portion 12 as will be further described below. The exemplary end effector 10 includes three contact pad regions 13, 15, and 17, but it will be appreciated that alternative end effector configurations may be implemented that include a greater or fewer number of contact pad installations as may be desired for a particular application. For example, an end effector that is adapted for a “gripping” application (i.e., for grasping workpieces) may include two or more articulating fingers, each of which may have a contact pad installation located on a fingertip thereof
  • FIG. 2 shows the exemplary contact pad region 15 located on the left lift arm 26 of the end effector body portion 12 shown in FIG. 1. The contact pad regions 13 and 17 (shown in FIG. 1) may be substantially the same as the contact pad region 15, and it will therefore be understood that the following description of the contact pad region 15 may apply equally to the contact pad regions 13 and 17.
  • The contact pad region 15 may include the contact pad 18 (introduced above), a contact pad pocket 30, a retaining spring 32, and a spring pocket 34, each of which will now be described in-turn.
  • As shown in FIGS. 3 and 4, the contact pad 18 may be a substantially cylindrical member including an annular retaining channel 36 formed in a sidewall 37 thereof, and a locating cavity 38 formed in a bottom portion thereof, the purposes of which will be described below. The contact pad 18 may additionally include a convex, top surface 40 for directly engaging a substrate positioned thereon, but this shape is not critical. In some embodiments the top surface 40 of the contact pad 18 may be flat, peaked (i.e. cone-shaped), irregular, or may feature a plurality of protrusions for engaging a workpiece.
  • The contact pad 18 is shown as having a circular cross-sectional shape, but it is contemplated that the contact pad 18 may alternatively have a cross-sectional shape that is rectangular, triangular, oval, irregular, etc. It is further contemplated that instead of having a single, annular retaining channel 36, the contact pad 18 may have two separate, diametrically-opposite retaining channels formed in its sidewall 37 as will become apparent below.
  • As with the end effector body portion 12 (described above), the contact pad 18 may be formed of any material that is suitably rigid, durable, and temperature-resistant for a particular application, such as directly engaging a bottom surface of a hot substrate while the substrate is being supported or moved by the end effector 10. For example, it is contemplated that the contact pad 18 may be formed of various metals, plastics, ceramics, or composite materials that exhibit good thermal stability and wear resistance at temperatures below 100° C. and up to and exceeding 500° C. In one exemplary embodiment the contact pad 18 is made from a ceramic material.
  • Referring to FIG. 4, the contact pad pocket 30 may be a cavity formed in the top surface of the left lift arm 26. The contact pad pocket 30 may have a tapered-oblong shape defined by opposing sidewalls 42 and 44 that converge as they extend away from an outer edge 45 (FIG. 1) of the left lift arm 26. The rounded end 47 of the contact pad pocket 30 nearest the outer edge 45 of the left lift arm 26 (hereinafter referred to as “the proximal end”) may have a radius that is slightly greater than the radius of the contact pad 18, and may have a depth “D” (FIG. 8) that is less than the total height “H” (FIG. 3) of the contact pad 18.
  • Referring to FIGS. 4 and 8, the contact pad pocket 30 may further include opposing undercuts 46 and 48 formed in the sidewalls 42 and 44, respectively, for receiving first and second retaining arms 60 and 62 of retaining spring 32 as further described below. A cylindrical locating post 54 having a diameter and a height configured to be received in the locating cavity 38 of the contact pad 18 may extend upwardly from a bottom surface 55 of the proximal end of the contact pad pocket 30. The locating post 54 may thus be adapted for matingly engaging the locating cavity 38 of the contact pad 18 as further described below.
  • When the end effector 10 is fully assembled, the contact pad 18 may be seated within the proximal end of the contact pad pocket 30 (as best shown in FIG. 6), with the locating post 54 of the contact pad pocket 30 extending into the locating cavity 38 of the contact pad 18. The locating post 54 thereby secures the contact pad 18 against lateral movement within the contact pad pocket 30 and further prevents the contact pad 18 from being installed in the contact pad pocket 30 in an upside-down orientation. With the contact pad 18 installed thusly, the sidewall 37 of the contact pad 18 may be disposed in a close clearance relationship with the sidewalls 42 and 44, the retaining channel 36 may be vertically aligned with the undercuts 46 and 48, and the top surface 40 of the contact pad 18 may extend above the top surface of the end effector body portion 12.
  • The locating cavity 38 and locating post 54 are shown in FIGS. 3 and 4 as being substantially cylindrical in shape, but it is contemplated that the locating cavity 38 and locating post 54 may have any shape or configuration that facilitates mating engagement therebetween for securing the lateral position of the contact pad 18 within the contact pad pocket 30. Furthermore, alternative embodiments of the end effector 10 are contemplated wherein the contact pad 18 may include a plurality of locating cavities 38 and wherein the contact pad pocket 30 may include a plurality of corresponding locating posts 54. Still further, it is contemplated that the locating cavity 38 and locating post 54 may be entirely omitted from the contact pad 18 and contact pad pocket 30, respectively.
  • Referring to FIGS. 5 and 6, the retaining spring 32 may be a substantially U-shaped member having two laterally-spaced, substantially parallel retaining arms 60 and 62 extending from the ends of a cross member 64. The lateral distance between the retaining arms 60 and 62 may be slightly greater than the inner diameter of the annular retaining channel 36 (FIG. 3) of the contact pad 18, and each of the retaining arms 60 and 62 may have a cross-sectional size and shape that allow the retaining arms 60 and 62 to be at least partially received within the retaining channel 36 as further described below. The retaining arms 60 and 62 may include respective, inwardly- curved portions 66 and 68 immediately adjacent the cross member 64 that define respective locking pockets 70 and 72.
  • The retaining spring 32 may be formed of any material that is suitably resilient and durable and that is also sufficiently flexible for allowing the retaining arms 60 and 62 to be flexed apart (as further described below) upon the application of moderate manual force by a human of average strength. For example, the retaining spring 32 may be formed of various metals, plastics, or composite materials that provide the retaining spring 32 with a suitable, spring-like quality.
  • Referring to FIGS. 6 and 7, the spring pocket 34 may be a recess formed in a top surface of the left lift arm 26 and that extends from the outer edge 45 of the left lift arm 26 toward the contact pad pocket 30. The spring pocket 34 may be defined by a pair of opposing sidewalls 74 and 76 and an end wall 78 located adjacent the contact pad pocket 30. The end wall 78 may include a pair of laterally-spaced locating notches 80 and 82 formed therein, the purpose of which will be described below. Through- holes 84 and 86 may extend from respective locating notches 80 and 82 through the end wall 78 to respective undercuts 46 and 48 of the contact pad pocket 30 (as best shown in FIG. 9), thereby forming continuous channels from the spring pocket 34 to the contact pad pocket 30. Each of the through- holes 84 and 86 may have a diameter or cross-sectional size and shape that are adapted to axially receive a respective one of the retaining arms 60 and 62 in a close clearance relationship therewith as further described below.
  • Laterally-spaced locking posts 88 and 90 may extend upwardly from a bottom surface 35 of the spring pocket 34, adjacent the outer edge of the left lift arm 26. The locking posts 88 and 90 may be sized and shaped to interact with respective locking pockets 70 and 72 of the retaining spring 32. In an embodiment, the locking posts 88 and 90 may be spaced apart by an amount roughly equal to the lateral distance between the locking pockets 70 and 72.
  • The locking posts 88 and 90 are shown in the figures as having a circular-cylindrical shape, and the locking pockets 70 and 72 are shown as having rounded counters, but this is not critical. The shapes of the locking posts 88 and 90 and locking pockets 70 and 72 may be varied as long as the locking posts 88 and 90 can be received and retained within the locking pockets 70 and 72 as further described below. For example, the locking posts 88 and 90 may have a square shape and the locking pockets 70 and 72 may alternatively have corresponding square contours.
  • Referring to FIGS. 6 and 9, the retaining arms 60 and 62 of the retaining spring 32 may be inserted into the respective through- holes 84 and 86 and the retaining spring 32 may be manually pushed in the direction of arrow “A” into the spring pocket 34. If, upon an attempt to insert the retaining arms 60 and 62 into the through- holes 84 and 86, the tips of the retaining arms 60 and 62 are not initially aligned with the through- holes 84 and 86 sufficiently to allow such insertion, the contours of the end wall 78 that define the locating notches 80 and 82 may provide assistance by guiding the tips of the retaining arms 60 and 62 into the respective through- holes 84 and 86. It will therefore be appreciated that the locating notches 80 and 82 may be formed with any type of tapered or converging shape or configuration, such as a V-shape or a cone shape, which is suitable for providing such a guiding function.
  • As the retaining spring 32 is slid into the spring pocket 34, the inwardly- curved portions 66 and 68 of the retaining arms 60 and 62 may be brought into engagement with the locking posts 88 and 90. Upon the application of sufficient manual force upon the retaining spring 32 in the direction of insertion (i.e., arrow “A” in FIG. 6), the inwardly- curved portions 66 and 68 of the spring may be caused to flex outwardly around the locking posts 88 and 90 as they pass the posts. Once the portions 66, 68 pass the posts 88, 90, they may flex back inward, locking the spring within the spring pocket 34 to assume the assembled position shown in FIG. 9. The retaining spring 32 is thus secured further movement absent the application additional manual force.
  • With the retaining spring 32 installed in the manner described above, the retaining arms 60 and 62 extend into the converging undercuts 46 and 48 of the tapered contact pad pocket 30 (see FIG. 8). The inter-engagement between the undercuts 46, 48 and the retaining arms 60 and 62 forces the arms laterally-inwardly toward one another so that they engage the retaining channel 36 (FIG. 3) of the contact pad 18. The engagement between the retaining arms 60 and 62 and the retaining channel 36 thus locks the contact pad 18 vertically with respect to the contact pad pocket 30. As described above, the contact pad 18 can also be secured against lateral movement by mating engagement between the locating cavity 38 and locating post 64 (see FIGS. 3 and 4).
  • Since the retaining spring 32 is flexible, the contact pad 18 and end effector body portion 12 are able to expand and contract relative to one another, such as may occur during thermal cycling, with the retaining arms 60 and 62 flexing outwardly and inwardly to accommodate such expansion and contraction without the contact pad's attachment to the end effector body portion 12 becoming loose and without causing damage to the contact pad 18 or to the end effector body portion 12.
  • In addition, the disclosed arrangement ensures that any particulate matter that may be produced by engagement between the contact pad 18 and substrates, or by frictional engagement between the contact pad 18 and the retaining spring 32 that may result from acceleration and/or thermal expansion forces, may fall into into the contact pad pocket 30 where it may be collected and removed. This prevents the particulate from raining down on other substrates or other surfaces in the associated load lock.
  • To replace the contact pad 18, such as may be desired where the contact pad 18 is damaged, becomes excessively worn, or is excessively contaminated, the retaining spring 32 may be manually withdrawn (e.g., pulled in the opposite direction of arrow “A” in FIG. 6) from the retaining spring pocket 34 and the contact pad 18 may be removed from the contact pad pocket 30. A new contact pad may then be placed in the contact pad pocket 30 and the retaining spring 32 may be reinserted into the retaining spring pocket 30 in the manner described above.
  • The composite end effector 10 of the present disclosure thus provides a convenient arrangement for securely and removably attaching material-contacting portions of the end effector 10 to a non-material-contacting portion of the end effector 10. The disclosed arrangement also permits relative thermal expansion and contraction of the material-contacting portions and non-material-contacting portion without the pad becoming loose or damaging the end effector 10 and/or a carried substrate. In addition, the composite end effector 10 of the present disclosure can mitigate the dispersion of particulate matter generated during substrate transfer and processing operations.
  • As used herein, an element or step recited in the singular and proceeded with the word “a” or “an” should be understood as not excluding plural elements or steps, unless such exclusion is explicitly recited. Furthermore, references to “one embodiment” of the present invention are not intended to be interpreted as excluding the existence of additional embodiments that also incorporate the recited features.
  • While certain embodiments of the disclosure have been described herein, it is not intended that the disclosure be limited thereto, as it is intended that the disclosure be as broad in scope as the art will allow and that the specification be read likewise. Therefore, the above description should not be construed as limiting, but merely as exemplifications of particular embodiments. Those skilled in the art will envision other modifications within the scope and spirit of the claims appended hereto.

Claims (20)

1. An end effector comprising:
a body portion;
a contact pad pocket formed in the body portion;
a spring pocket formed in the body portion adjacent the contact pad pocket, the spring pocket and the contact pad pocket separated by an end wall;
a pair of through-holes extending from the spring pocket to the contact pad pocket through the end wall;
a contact pad positionable within the contact pad pocket, the contact pad having at least one retaining channel formed therein; and
a retaining spring having a pair of retaining arms extendable from the spring pocket through the through-holes and into the contact pad pocket, wherein the retaining arms have lengths sufficient to extend at least partially into the at least one retaining channel of the contact pad when the contact pad is positioned in the contact pad pocket to restrict movement of the contact pad.
2. The end effector of claim 1, wherein the contact pad has a cylindrical shape and the retaining channel is an annular channel formed in a sidewall of the contact pad.
3. The end effector of claim 1, further comprising a locating cavity formed in a lower surface of the contact pad and a locating post extending from the contact pad pocket, wherein the locating post is receivable within the locating cavity for restricting lateral movement of the contact pad.
4. The end effector of claim 1, wherein the contact pad has a convex upper surface for engaging a substrate therewith.
5. The end effector of claim 1, wherein the contact pad pocket is defined by a pair of opposing sidewalls that converge as they extend away from the end wall.
6. The end effector of claim 5, wherein each of the sidewalls has an undercut formed therein for receiving a respective one of the retaining arms.
7. The end effector of claim 5, wherein when the retaining arms are extended from the spring pocket through the through-holes and into the contact pad pocket the converging sidewalls force the retaining arms toward one another and into the at least one retaining channel of the contact pad.
8. The end effector of claim 1, further comprising a pair of laterally-spaced locking posts extending from the spring pocket and a pair of laterally-spaced locking pockets formed in the retaining spring, wherein the locking posts are receivable within the locking pockets for restricting movement of the retaining spring.
9. The end effector of claim 1, further comprising a pair of laterally-spaced locating notches formed in the rear wall, each locating notch adapted to receive a tip of a respective one of the retaining arms and guide the tip into a respective one of the through-holes.
10. The end effector of claim 1, wherein the retaining spring is U-shaped.
11. An end effector comprising:
a body portion;
a plurality of contact pad pockets formed in the body portion;
a plurality of spring pockets formed in the body portion adjacent respective ones of said plurality of contact pad pockets, the plurality of spring pockets and the plurality of contact pad pockets separated by respective end walls;
a pair of through-holes extending from each of said spring pockets to the associated one of said contact pad pockets through the end wall;
a plurality of contact pads, each of said plurality of contact pads disposed within a respective one of said plurality of contact pad pockets, the plurality of contact pads each having at least one retaining channel formed therein; and
a plurality of retaining springs each having a pair of retaining arms extending from a respective one of said plurality of spring pockets through associated ones of said through-holes and into the respective contact pad pocket, wherein the retaining arms of each of said plurality of retaining springs extend at least partially into the at least one respective retaining channel of the associated contact pad to restrict movement of the associated contact pad.
12. The end effector of claim 11, wherein at least one of said plurality of contact pads has a circular cross section and the retaining channel of the contact pad is an annular channel formed in a sidewall of the contact pad.
13. The end effector of claim 11, further comprising a locating cavity formed in a bottom surface of at least one of said plurality of contact pads and a locating post extending from the body portion and matingly engaging the locating cavity for restricting movement of the contact pad.
14. The end effector of claim 11, wherein at least one of said plurality of contact pads has a surface projecting beyond a surface of the body portion for engaging a substrate therewith.
15. The end effector of claim 11, wherein at least one of said contact pad pockets tapers as it extends away from the respective end wall.
16. The end effector of claim 15, wherein said at least one contact pad pocket is defined by a pair of converging sidewalls, each sidewall having an undercut formed therein, wherein each of the retaining arms of the respective retaining spring extend into a respective one of the undercuts.
17. The end effector of claim 16, wherein the converging sidewalls of said at least one contact pad pocket force the retaining arms of the respective retaining spring toward one another and into the at least one retaining channel of the associated contact pad.
18. The end effector of claim 11, further comprising a pair of laterally-spaced locking posts extending from the body portion and a pair of laterally-spaced locking pockets formed in at least one of said plurality of retaining springs, wherein the locking posts are disposed within the locking pockets and restrict movement of said at least one retaining spring.
19. The end effector of claim 11, further comprising a pair of laterally-spaced locating notches formed in at least one of said respective end walls, each locating notch defining a tapered passageway leading from the respective spring pocket into a respective through-hole in the end wall.
20. The end effector of claim 11, wherein the retaining arms of at least one of said plurality of retaining springs extends from the ends of a perpendicular cross member.
US13/861,737 2013-04-12 2013-04-12 Spring retained end effector contact pad Active 2033-06-05 US8864202B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/861,737 US8864202B1 (en) 2013-04-12 2013-04-12 Spring retained end effector contact pad

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/861,737 US8864202B1 (en) 2013-04-12 2013-04-12 Spring retained end effector contact pad

Publications (2)

Publication Number Publication Date
US20140306474A1 true US20140306474A1 (en) 2014-10-16
US8864202B1 US8864202B1 (en) 2014-10-21

Family

ID=51686280

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/861,737 Active 2033-06-05 US8864202B1 (en) 2013-04-12 2013-04-12 Spring retained end effector contact pad

Country Status (1)

Country Link
US (1) US8864202B1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170144313A1 (en) * 2015-11-24 2017-05-25 Hirata Corporation Hand Member and Hand
KR20180069728A (en) * 2016-12-15 2018-06-25 자빌 인코퍼레이티드 Apparatus, system and method for providing a conformable vacuum cup for an end effector
KR20190002297A (en) * 2017-06-29 2019-01-08 니혼 덴산 산쿄 가부시키가이샤 Hand of industrial robot and industrial robot
US20200105571A1 (en) * 2018-09-27 2020-04-02 Semes Co., Ltd. Transfer robot and apparatus for treating substrate with the robot
WO2020176674A1 (en) * 2019-02-27 2020-09-03 Applied Materials, Inc. Replaceable end effector contact pads, end effectors, and maintenance methods
WO2021257488A1 (en) * 2020-06-14 2021-12-23 Fabworx Solutions, Inc. Robotic end effector equipped with replaceable wafer contact pads

Families Citing this family (331)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
SG194239A1 (en) * 2012-04-09 2013-11-29 Semiconductor Tech & Instr Inc End handler
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP6224437B2 (en) * 2013-11-26 2017-11-01 東京エレクトロン株式会社 Substrate transfer device
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
JP6305272B2 (en) * 2014-08-14 2018-04-04 株式会社ディスコ Transport device
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10231777B2 (en) * 2014-08-26 2019-03-19 Covidien Lp Methods of manufacturing jaw members of an end-effector assembly for a surgical instrument
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9779977B2 (en) * 2015-04-15 2017-10-03 Lam Research Corporation End effector assembly for clean/dirty substrate handling
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10737923B2 (en) 2016-03-16 2020-08-11 Cascade Corporation Cast contact pads
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6833350B2 (en) * 2016-06-01 2021-02-24 キヤノン株式会社 Manufacturing methods for holding devices, transport devices, lithography equipment, and articles
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9757865B1 (en) * 2017-03-03 2017-09-12 Well Thin Technology, Ltd. Carrier plate assembly for a wafer
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10399231B2 (en) * 2017-05-22 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate handling contacts and methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN109461693B (en) * 2017-09-06 2023-06-02 台湾积体电路制造股份有限公司 Wafer transfer apparatus, wafer processing system and method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
JP7415782B2 (en) * 2020-05-11 2024-01-17 東京エレクトロン株式会社 Substrate transfer mechanism and substrate transfer method
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3913646A (en) * 1974-05-09 1975-10-21 Robert E Grayson Fingertip nut and bolt holding tool
US5783834A (en) * 1997-02-20 1998-07-21 Modular Process Technology Method and process for automatic training of precise spatial locations to a robot
US6116848A (en) * 1997-11-26 2000-09-12 Brooks Automation, Inc. Apparatus and method for high-speed transfer and centering of wafer substrates
US6216883B1 (en) * 1998-07-24 2001-04-17 Mitsubishi Denki Kabushiki Kaisha Wafer holding hand
US6962477B2 (en) * 1997-05-15 2005-11-08 Tokyo Electron Limited Apparatus for and method of transferring substrates
US20060113806A1 (en) * 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
US7055875B2 (en) * 2003-07-11 2006-06-06 Asyst Technologies, Inc. Ultra low contact area end effector
US20070128008A1 (en) * 2005-12-06 2007-06-07 Tokyo Electron Limited Substrate transfer method and substrate transfer apparatus
US7384083B2 (en) * 2005-07-15 2008-06-10 Fabworx Solutions, Inc. O-ring locking mount
US7654596B2 (en) * 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
US7748760B2 (en) * 2006-10-27 2010-07-06 Daihen Corporation Work holding mechanism
US20120315113A1 (en) * 2010-02-05 2012-12-13 Tokyo Electron Limited Substrate holder, substrate transfer apparatus, and substrate processing apparatus
US8454068B2 (en) * 2009-12-01 2013-06-04 Kawasaki Jukogyo Kabushiki Kaisha Edge grip device and robot including the same
US8752872B2 (en) * 2009-09-14 2014-06-17 Fabworx Solutions, Inc. Edge grip end effector
US8764085B2 (en) * 2012-10-19 2014-07-01 Sinfonia Technology Co., Ltd. Clamping device and workpiece conveying robot

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279211A (en) 1992-04-24 1994-01-18 Cummins Engine Company, Inc. Mechanically retained wear-resistant ceramic pad

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3913646A (en) * 1974-05-09 1975-10-21 Robert E Grayson Fingertip nut and bolt holding tool
US5783834A (en) * 1997-02-20 1998-07-21 Modular Process Technology Method and process for automatic training of precise spatial locations to a robot
US6962477B2 (en) * 1997-05-15 2005-11-08 Tokyo Electron Limited Apparatus for and method of transferring substrates
US6116848A (en) * 1997-11-26 2000-09-12 Brooks Automation, Inc. Apparatus and method for high-speed transfer and centering of wafer substrates
US6216883B1 (en) * 1998-07-24 2001-04-17 Mitsubishi Denki Kabushiki Kaisha Wafer holding hand
US7654596B2 (en) * 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
US7055875B2 (en) * 2003-07-11 2006-06-06 Asyst Technologies, Inc. Ultra low contact area end effector
US20060113806A1 (en) * 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
US7384083B2 (en) * 2005-07-15 2008-06-10 Fabworx Solutions, Inc. O-ring locking mount
US20070128008A1 (en) * 2005-12-06 2007-06-07 Tokyo Electron Limited Substrate transfer method and substrate transfer apparatus
US7748760B2 (en) * 2006-10-27 2010-07-06 Daihen Corporation Work holding mechanism
US8752872B2 (en) * 2009-09-14 2014-06-17 Fabworx Solutions, Inc. Edge grip end effector
US8454068B2 (en) * 2009-12-01 2013-06-04 Kawasaki Jukogyo Kabushiki Kaisha Edge grip device and robot including the same
US20120315113A1 (en) * 2010-02-05 2012-12-13 Tokyo Electron Limited Substrate holder, substrate transfer apparatus, and substrate processing apparatus
US8764085B2 (en) * 2012-10-19 2014-07-01 Sinfonia Technology Co., Ltd. Clamping device and workpiece conveying robot

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106783715A (en) * 2015-11-24 2017-05-31 平田机工株式会社 Hand component and hand
KR20170060575A (en) * 2015-11-24 2017-06-01 히라따기꼬오 가부시키가이샤 Hand member and hand
US9776333B2 (en) * 2015-11-24 2017-10-03 Hirata Corporation Hand member and hand
TWI624899B (en) * 2015-11-24 2018-05-21 Hirata Spinning Hand components and hand
KR101882903B1 (en) * 2015-11-24 2018-07-27 히라따기꼬오 가부시키가이샤 Hand member and hand
US20170144313A1 (en) * 2015-11-24 2017-05-25 Hirata Corporation Hand Member and Hand
JP7180975B2 (en) 2016-12-15 2022-11-30 ジャビル インク Apparatus and method for providing matching vacuum cups for end effectors
KR20180069728A (en) * 2016-12-15 2018-06-25 자빌 인코퍼레이티드 Apparatus, system and method for providing a conformable vacuum cup for an end effector
JP2018108638A (en) * 2016-12-15 2018-07-12 ジャビル インク Device and method for imparting adaptable vacuum cup for end effector
KR102496933B1 (en) 2016-12-15 2023-02-06 자빌 인코퍼레이티드 Apparatus, system and method for providing a conformable vacuum cup for an end effector
KR20190002297A (en) * 2017-06-29 2019-01-08 니혼 덴산 산쿄 가부시키가이샤 Hand of industrial robot and industrial robot
KR102071791B1 (en) 2017-06-29 2020-01-30 니혼 덴산 산쿄 가부시키가이샤 Hand of industrial robot and industrial robot
US10923384B2 (en) * 2018-09-27 2021-02-16 Semes Co., Ltd. Transfer robot and apparatus for treating substrate with the robot
US20200105571A1 (en) * 2018-09-27 2020-04-02 Semes Co., Ltd. Transfer robot and apparatus for treating substrate with the robot
WO2020176674A1 (en) * 2019-02-27 2020-09-03 Applied Materials, Inc. Replaceable end effector contact pads, end effectors, and maintenance methods
CN113543940A (en) * 2019-02-27 2021-10-22 应用材料公司 Replaceable end effector contact pad, end effector and maintenance method
US11600580B2 (en) 2019-02-27 2023-03-07 Applied Materials, Inc. Replaceable end effector contact pads, end effectors, and maintenance methods
WO2021257488A1 (en) * 2020-06-14 2021-12-23 Fabworx Solutions, Inc. Robotic end effector equipped with replaceable wafer contact pads

Also Published As

Publication number Publication date
US8864202B1 (en) 2014-10-21

Similar Documents

Publication Publication Date Title
US8864202B1 (en) Spring retained end effector contact pad
US5221099A (en) Device for conducting forces into movable objects
EP2629327B1 (en) Semiconductor manufacturing apparatus
US7384083B2 (en) O-ring locking mount
KR20070041594A (en) Toolholder and cutting insert for a toolholder assembly
CN108006348B (en) Male connector and connection system for cooling tube
EP3051199B1 (en) Grip elements for grip ring
MX2007001572A (en) Device for supporting an item on a structure.
SG144821A1 (en) High temperature robot end effector
KR20190026898A (en) Apparatus for handling semiconductor wafers in epitaxial reactors and method for manufacturing semiconductor wafers having epitaxial layers
CN101273208A (en) Clamping part and retention device having a clamping part ofthis type
US20050121902A1 (en) Fitting for ENT tubing
JPH0390793A (en) Rapid mounting and demounting bit
US5129613A (en) Fixture for attaching contact material
KR102612953B1 (en) Replaceable end effector contact pads, end effectors and maintenance methods
WO2002005326A2 (en) Robotic end effector provided with wafer supporting pads elastically mounted
JP4358607B2 (en) Retainer
US20230249360A1 (en) Robotic end effector equipped with replaceable wafer contact pads
CN212377042U (en) Clip type pipe sleeve structure
US8678716B2 (en) Cutting tool assembly with removable tool head
US6511227B1 (en) Removable bearing
US20230339123A1 (en) Suction head for a waste sorting system
WO2018223659A1 (en) Deposition ring and chuck assembly
KR101495901B1 (en) Ceramic material structure and the bonding method combines the boat
JP2021050774A (en) Fixture

Legal Events

Date Code Title Description
AS Assignment

Owner name: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC., M

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SCHRAMEYER, MICHAEL A.;REEL/FRAME:030346/0003

Effective date: 20130426

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551)

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8