TWI412101B - 基板固持具及基板運送裝置及基板處理裝置 - Google Patents

基板固持具及基板運送裝置及基板處理裝置 Download PDF

Info

Publication number
TWI412101B
TWI412101B TW100104151A TW100104151A TWI412101B TW I412101 B TWI412101 B TW I412101B TW 100104151 A TW100104151 A TW 100104151A TW 100104151 A TW100104151 A TW 100104151A TW I412101 B TWI412101 B TW I412101B
Authority
TW
Taiwan
Prior art keywords
substrate
substrate holder
semiconductor wafer
transport
protrusion
Prior art date
Application number
TW100104151A
Other languages
English (en)
Other versions
TW201227868A (en
Inventor
Tsutomu Hiroki
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201227868A publication Critical patent/TW201227868A/zh
Application granted granted Critical
Publication of TWI412101B publication Critical patent/TWI412101B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/04Arrangements of vacuum systems or suction cups
    • B65G2249/045Details of suction cups suction cups

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Description

基板固持具及基板運送裝置及基板處理裝置
本發明係關於一種用以將被處理基板固持於運送臂上之基板固持具、及使用此基板固持具之單片式的基板運送裝置、以及基板處理裝置。
在現今的半導體裝置或FPD(Flat Panel Display,平面顯示器)之生產線中,所採用之步驟係將被處理基板(半導體晶圓、玻璃基板等)一片接著一片處理之單片式形態。特別是在最近為了謀求製程的一貫性、連結性、或是複合性,而沿著運送系統的運送路線或是其周圍集中配置多數個處理單元之多重單片式的線上處理系統係在增加當中。
此類單片式的處理單元用或者是多重單片式的線上處理系統用之運送系統,係使用單片式的基板運送裝置,其以一片為單位來固持基板,對於各處理單元以一片為單位將基板送入或是送出。此種類的基板運送裝置,通常係由運送機器人所構成,並具有一隻或是數隻運送臂,其以一片為單位將基板面朝上載置,進行旋轉運動、升降移動及進退移動。
此類基板運送裝置的運送臂,係具有將面朝上位態的基板固持在臂上的基板固持部(固持具或是固持機構),俾使基板在運送中不會位置偏移或是落下。習知的此種類之基板固持部係使用背面摩擦方式、推拔形墊方式、落入方式、真空吸附方式。
背面摩擦方式,係在運送臂的頂面或是載置面分散地安裝複數個板片狀或是塊狀的固持墊。而將基板面朝上載置於此等固持墊上,以基板背面與墊片表面之間的摩擦力固持基板(參照例如專利文獻1的圖8及其說明文)。此類固持墊的材質,係使用合成橡膠、陶瓷等。
推拔形墊方式,係將複數個具有推拔形側面(縱剖面為梯形)的固持墊,以彼此隔開既定間隔而包圍著基板的方式分散地安裝於運送臂的載置面。令基板面朝上而固持之,使基板的周緣部卡止於該等固持墊的推拔形側面基板(參照例如專利文獻1的圖4及其說明文)。依此方式,基板從高於固持墊的頂面之位置沿著推拔形側面降落至運送臂的載置面上,在基板的重力與來自固持墊的反作用力達於平衡的推拔形側面之中途位置,基板以線接觸的方式受固持著。
落入方式,係將運送臂的拾取部仿照基板的輪廓形狀形成比其略大的叉部,並將包圍著基板且彼此隔開既定間隔之突出於內側延伸的複數個爪部安裝於叉部。而使基板沿著於此等爪部的內側面所形成之傾斜面,落入到爪部的底面(載置面),以面接觸的方式使基板面朝上固持於爪部的底面(參照例如專利文獻2的圖3及其說明文)。
真空吸附方式,係於運送臂的載置面設有複數個吸附口,基板的背面載置於此等吸附口上,使藉由空氣通路連接到該吸附口的真空吸引裝置進行抽真空,藉此基板係以吸附口吸附固定於運送臂(參照例如專利文獻2的圖3及其說明文)。
[習知技術文獻] [專利文獻]
專利文獻1:日本特開2000-3951
專利文獻2:日本特開2002-64057
在半導體裝置或FPD之生產線上,在提高生產效率方面,基板運送技術是很重要的,而基板運送裝置的運送速度係日新月異地提升中。特別是在使用多重單片式的線上處理系統之單片式的基板運送裝置之情況,固持基板的運送臂之動作不僅是滑行移動或升降移動亦加入旋轉運動而高速化。另一方面,由於基板的大型化,運送臂的前端部係因基板的重力而容易向前傾。
在此類技術背景下,用以在運送臂上固持面向上位態的基板之基板固持部的性能乃至於功能性,係成為影響基板運送裝置的運送能力甚至是基板處理裝置或是處理系統的產能之重要的基本技術。
這點,如上所述的背面摩擦方式,因為僅以基板的背面與墊片表面之間的摩擦力固持基板,在本質上基板固持力低,基板容易滑動而自運送臂上脫落。再者,有以下等缺點:由於基板背面的狀態而影響摩擦係數亦即固持力,或於基板翹曲時難以固持。
另一方面,推拔形墊方式或是落入方式,若將基板載置於運送臂上時的位置偏移,則基板易於疊覆至墊片或是爪部之上而斜傾。基板若於運送臂上斜傾,則基板不僅容易脫落,即使未脫落,亦難以用光學檢測器檢測出運送臂上基板的位置,其結果,在將基板從該運送臂移載至其他的基板支持部(例如處理單元內的基板載置台)時之對位即變得難以進行。
又,推拔形墊方式,在固持墊的推拔形側面與基板之間必須有適當的摩擦係數,固持墊的材質則常使用合成橡膠。然而,欲運送受到CVD(Chemical Vapor Deposotion,化學氣相沉積)等高溫處理之基板,因為合成橡膠的耐熱溫度低(約300℃),所以固持墊的材質係使用陶瓷。但是,雖然背面摩擦方式亦可適用,但在接觸型的固持墊係陶瓷製的情形,基板容易滑動,難以安定地固持。
真空吸附方式,雖然在基板固持力這方面上完全沒有問題,但卻使運送臂的構造乃至於基板運送裝置的設備複雜化、高價化,在實用上係重大的缺點,且在減壓下動作的真空運送裝置則無法發揮其功能,有其使用上的限制。又,亦有容易附著顆粒之問題。
本發明係解決如上所述的習知技術之問題點,並提供一種基板固持具、及使用此基板固持具之單片式的基板運送裝置、以及基板處理裝置。該基板固持具係無須在運送臂上進行特別的加工,即可簡單且廉價地安裝,亦不會為基板的背面狀態或翹曲所影響,在運送體的載置面上即使基板的位置略為偏移,亦能以正確的位態安定地固持基板。
在本發明的第一觀點中之基板固持具,安裝於運送被處理基板之運送體的載置面,與該基板之基板周緣部接觸而固持該基板;該基板固持具包含:墊片本體,呈板狀或是塊狀,固定於該運送體的載置面;以及複數個突起部,自該墊片本體延伸,可彈性地變形;該複數個突起部的一部分固持該基板的背面;該複數個突起部的另一部分固持該基板的側面。
在本發明的第二觀點中之基板固持具,安裝於將被處理基板面朝上載置運送之運送體的載置面,與該基板之基板周緣部接觸而固持該基板;該基板固持具包含:墊片本體,呈板狀或是塊狀,固定於該運送體的載置面;以及複數個突起部,自該墊片本體的頂面朝上方延伸,可彈性地變形;該複數個突起部的一部分,與該基板的背面接觸,主要是在與該基板的板面垂直之方向上固持著該基板;該複數個突起部的另一部分,與該基板的側面接觸,主要是在與該基板的板面平行之方向上固持著該基板。
在本發明的基板固持具中,在運送體的載置面上固持被處理基板的周緣部時,隱藏在基板之下的突起部固持基板的背面,一些暴露於基板的周緣部附近之突起部固持基板的側面。令突起部的形狀、尺寸、配置密度、彈性力等為參數,藉此可分別在縱方向上及橫方向上任意調整基板固持力。
本發明的基板運送裝置,其用以運送被處理基板之基板運送裝置,該基板運送裝置,具有:運送基座部,以可在大氣壓下或是減壓下的室內移動之方式構成;運送臂,搭載於該運送基座部,以可載置並固持該基板之方式構成;臂驅動部,用以在該運送基座部上使該運送臂朝既定的方向移動;以及基板固持部,用以固持該基板而安裝於該運送臂,含有本發明之基板固持具。
基板處理裝置,具有:單片式的處理單元,用以在大氣壓下或是減壓下對被處理基板施以既定的處理;以及基板運送機構,具有已安裝本發明的基板固持具之運送臂,將該基板載置於該運送臂上,以運送該基板,並將該基板送入該處理單元,或是從該處理單元將該基板送出。
本發明的基板固持具,由於如上所述之構成及作用,可無須在運送臂等運送體上進行特別的加工,即可簡單且廉價地安裝,亦不會為基板的背面狀態或翹曲所影響,在運送體的載置面上即使基板的位置略為偏移,亦能以正確的位態安定地固持基板。
藉由使用本發明的基板固持具,本發明的基板運送裝置,在以運送臂固持而運送基板時,臂位態、臂移動軌跡、運送速度無須受到基板固持部的限制,可任意且自由地選擇之,而可提升運送能力。
藉由使用本發明的基板固持具,本發明的基板處理裝置,係可改善運送效率,提生產能。
以下參照附圖來說明本發明較佳的實施形態。
[實施形態的基板運送裝置及基板處理裝置]
圖1及圖2,係顯示依本發明的一實施形態之基板處理裝置的構造。此基板處理裝置,係以多重單片式線上處理系統的一種即叢集工具式的真空處理裝置所構成。
此叢集工具式的真空處理裝置,係設置於無塵室內,在具有六角形形狀之真空平台(真空運送室)PH的周圍,以叢集狀的方式配置有6個真空處理室(processing chamber)PC1 、PC2 、PC3 、PC4 、PC5 、PC6 與2個真空預備室(load-lock chamber)LLCa 、LLCb 。該六角形之朝裝置縱深方向延伸的一對邊約為其他邊的2倍長。
更詳細而言,平台PH係以圖中的順時針順序,在第1長邊上介由閘閥GV1 、GV2 連結有2台真空處理室PC1 、PC2 ,在第1及第2短邊上介由閘閥GV3 、GV4 分別各連結有1台真空處理室PC3 、PC4 ,在第2長邊上介由閘閥GV5 、GV6 連結有2台真空處理室PC5 、PC6 ,在第3及第4短邊上介由閘閥GVa 、GVb 分別各連結有1台真空預備室LLCa 、LLCb
各真空處理室PC1 ~PC6 ,係連接至專用的真空排氣裝置(未圖示),室內係以可變壓力隨時保持在減壓狀態。典型的,係將被處理體例如半導體晶圓W載置於配置於室內中央部之載置台10上,使用既定的設施(處理氣體、高周波等)進行所需之單片處理,例如CVD、ALD(Atomic Layer Deposition,原子層沉積)或是濺鍍等真空成膜處理、熱處理、半導體晶圓表面的清潔處理、乾蝕刻加工等。
平台PH,係連接至專用的真空排氣裝置(未圖示),室內通常係以一定的壓力隨時保持在減壓狀態。在室內,具有一對可伸縮的運送臂12、14,並設有可進行滑行動作、旋轉動作、及升降動作之單片式的真空運送機械人(基板運送裝置)16。
真空預備室LLCa 、LLCb ,係分別介由開閉閥連接至專用的真空排氣裝置(未圖示),可隨時將室內切換成大氣壓狀態及真空狀態其中之一。從平台PH看去,在相反側,真空預備室LLCa 、LLCb 係分別介由閘閥GVc 、GVd 分別連結至大氣壓下的裝載運送室LM。在真空預備室LLCa 、LLCb 室內的中央部,配置有載置留置中的半導體晶圓W之傳遞台18。
設有與裝載運送室LM鄰接的裝載埠LP及對位機構ORT。裝載埠LP,係用於在與外部運送車之間,導入、移出可收納例如1披量25片晶圓W的晶圓匣盒CR。在此,晶圓匣盒CR係以FOUP(Front open unified pod,前開式晶圓盒)或SMIF(Standard Mechanical Interface,標準機械化介面)箱等所構成。對位機構ORT,係用以將半導體晶圓W的刻痕或是定向平面對準於既定的位置或是方向上。
設於裝載運送室LM內之單片式的大氣運送機械人(基板運送裝置)20,係具有一對上下二層重疊之可伸縮的運送臂22、24,可在於線性馬達26的線性導軌28上朝水平方向移動,且可升降、旋轉,往來於裝載埠LP、對位機構ORT及真空預備室LLCa LLCb 之間,以單片單位(或是批量單位)運送半導體晶圓W。此大氣運送機械人20,在設於各晶圓匣盒CR前面的LP門25呈開啟狀態中,將半導體晶圓W送入裝載運送室LM內。線性導軌28,例如由永久磁石所構成的磁鐵、驅動用電磁線圈及磁性光學尺等所構成,根據來自控制部30的命令進行大氣運送機械人20的直線運動控制。
在此,說明基本的晶圓運送程序,其用以使導入於裝載埠LP之晶圓匣盒CR內的1片晶圓在此叢集工具內接受一連串的處理。
裝載運送室LM內的大氣運送機械人20,從裝載埠LP上的晶圓匣盒CR取出1片半導體晶圓W,將此半導體晶圓W運送至對位機構ORT使其對位,結束之後則移送到真空預備室LLCa 、LLCb 其中任一方(例如真空預備室LLCa )。移送對象的真空預備室LLCa ,在大氣壓狀態下接收半導體晶圓W,在送入後將室內抽真空,在減壓狀態下將半導體晶圓W傳遞到平台PH的真空運送機械人16。
真空運送機械人16,係使用運送臂12、14的其中一方,將從真空預備室LLCa 取出的半導體晶圓W送入第1個真空處理室(例如PC1 )。在真空處理室PC1 內按照預先設定的處理程序,以既定的處理條件(氣體、壓力、電力、時間等)進行第1步驟的單片處理。
在此第1步驟的單片處理結束後,真空運送機械人16,係將半導體晶圓W從真空處理室PC1 送出,將該送出的半導體晶圓W送入接下來的第2個真空處理室(例如PC2 )。在此第2個真空處理室PC2 ,亦按照預先設定的處理程序,以既定的處理條件進行第2步驟的單片處理。
若此第2步驟的單片處理結束,真空運送機械人16則將半導體晶圓W從第2個真空處理室PC2 送出,該送出的半導體晶圓W,在有下一個步驟時,係被送入第3個真空處理室(例如PC3 );在沒有下一個步驟時,係被運送至真空預備室LLCa 、LLCb 其中一方。在第3個以後的真空處理室(例如PC5 )進行過處理的情形,亦於其後有下一個步驟時,係被送入後段的第3個真空處理室(例如PC6 );於其後沒有下一個步驟時,係被送回真空預備室LLCa 、LLCb 的其中一方。
另外,平台PH的真空運送機械人16,在對其周圍的各真空處理室PC1 ~PC6 或是各真空預備室LLCa 、LLCb 所進行的1次接觸中,交互使用一對運送臂12、14,來進行取出置入動作。該取出置入動作係在一開始將半導體晶圓W從該模組送出,接著取而代之的是,將別的半導體晶圓W送入該模組。
以如上所述方式,若將在叢集工具內的複數個真空處理室PC1 、PC2 ...中受到一連串的處理之半導體晶圓W,送入真空預備室的其中一方(例如LLCb ),則此真空預備室LLCb 的室內係從減壓狀態切替至大氣壓狀態。爾後,裝載運送室LM內的大氣運送機械人20,係將半導體晶圓W從大氣壓狀態的真空預備室LLCb 取出,送回該晶圓匣盒CR。另外,在真空預備室LLCa 、LLCb 中,亦可在所求的蒙氣下對滯留中的半導體晶圓W施以加熱或冷卻處理。
如上所述,此叢集工具式的真空處理裝置,係介由減壓下的平台PH將一個半導體晶圓W依序傳送到複數個真空處理室,藉此可在線上對該半導體晶圓W連續地施以一連串的真空處理,特別是在真空薄膜形成加工中,可在複數個真空處理室連續地進行不同的成膜加工,可在線上堆疊形成出所求之薄膜。
在此叢集工具式的真空處理裝置中,在平台PH內,在其長邊方向上平行地敷設有一對導軌32與滾珠螺桿機構34的進給螺桿36,真空運送機械人16係可藉由滾珠螺桿機構34的直進驅動在導軌32上滑行移動。在滾珠螺桿機構34中,進給螺桿36的一端係與馬達38結合。
真空運送機械人16,係具有:運送基座部40,進行滑行動作;以及臂伸縮驅動部42,使運送臂12、14的拾取部12a、14a在與旋轉半徑平行的方向上來回直進移動或是進退移動。臂伸縮驅動部42,係使由水平多關節機械人所構成的運送臂12、14進行伸縮運動,以進行如上所述的半導體晶圓W的送入送出或是取出置入動作。臂伸縮驅動部42、基座部40內的旋轉驅動部、升降驅動部、及滾珠螺桿機構34(馬達38)的各動作係由控制部30所控制。
以下,說明在此叢集工具式的真空處理裝置中,適用於真空運送機械人16的運送臂12、14之依本發明的實施形態之基板固持具。
真空運送機械人16的運送臂12、14,係安裝有用以將半導體晶圓W安定地固持之本發明的基板固持具(未示於圖1),俾使半導體晶圓W以面朝上方式載置的狀態下任意且高速地進行旋轉運動、升降移動或是進退移動。
具體而言,如圖2所示,在運送臂12(14)的叉狀之拾取部12a(14a)的頂面或是載置面,複數個(圖示的例為4個)依本發明的基板固持具50係隔開適當的間隔,而分散地安裝於既定位置亦即叉狀的拾取部12a、14a的基端部及前端部,俾於固持半導體晶圓W的周緣部。
此真空運送機械人16,係在各運送臂12、14上安裝後述的本發明之基板固持具50,藉此在以各運送臂12、14固持而運送基板時,臂位態、臂移動軌跡、運送速度無須受到基板固持部的限制,可任意且自由地選擇之,而可提升運送能力。
又,藉由提升在平台PH運轉的真空運送機械人16之運送能力,可提升在上述叢集方式的真空處理裝置中系統全體之產能。
[有關基板固持具的實施例1]
圖3係顯示在本發明的第1實施例中基板固持具50的外觀構造。圖4~圖6係顯示此基板固持具50的作用。
在此實施例中基板固持具50,係具有:墊片本體52,以螺著固定或是黏接等方式固定於運送臂12(14)的載置面;以及複數個(較佳為多數或是無數)草皮狀(特別類似直毛型的人工草皮)突起部54,以一定的尺寸及密度設於此墊片本體52的頂面。
如圖2及圖3所示,基板固持具50,在固持半導體晶圓W的周緣部時,係配設於運送臂12(14)之既定位置,俾使墊片本體52上的草皮狀突起部54的一部分隱藏在半導體晶圓W之下方,其餘的草皮狀突起部54則暴露在半導體晶圓W之外。
基板固持具50的墊片本體52,係形成為板片狀(例如圓板狀)或是塊狀(例如圓柱狀)。墊片本體52的頂面,較佳係平行於運送臂12(14)的載置面。墊片本體52的材質,亦可使用金屬或陶瓷,但適宜使用鐵氟龍(註冊商標)或PEEK(Polyether ether ketone,聚醚醚酮)(商標名稱)等樹脂。
基板固持具50的草皮狀突起部54,係具有:基部54a,固定於墊片本體52的頂面;以及接觸部或自由端部54b,由此基部54a朝斜上方延伸,因應外力或外部能量(來自半導體晶圓W的重力、推壓力、熱能等)而彈性地變形或位移。
至於將突起部54的基部54a固定於墊片本體52之構造,例如如圖4所示,可適宜採用之形態係如草皮的根莖一樣地將基部54a埋入墊片本體52來植設。或者亦可是將突起部54與墊片本體一體成型的形態。
突起部54的接觸部54b,如圖4所示,較佳為如草的葉片一樣細長,而前端部係呈推拔形狀,在未與半導體晶圓W接觸時,較佳為該前端部係對於與運送臂12(14)的載置面垂直的方向斜傾(較佳為30°~60°的角度);在與半導體晶圓W接觸時,較佳為該前端部係朝向該半導體晶圓W輪廓的內側。
又,突起部54,較佳為係可對外力各自獨立地彈性變形,具有適當的剛性與彈性係數。特別是,就突起部54的彈性功能而言,宜具有以下特性:如圖5(a)所示,對來自上方的垂直方向的力Fv 則容易撓曲(彈性係數小);另一方面,如圖5(b)所示,對來自橫向的水平方向的力FH 則不易撓曲(彈性係數大)。例如欲使其對垂直方向的力Fv 容易撓曲,只要使前端部減細即可;欲使其對水平方向的力FH 不易撓曲,只要使基部加粗即可。
至於突起部54的材質,較佳為其素材本身可朝任意方向彈性變形之橡膠狀彈性體,特佳為耐熱性及耐藥性優良的氟橡膠。或者是亦適宜使用可根據形狀而朝既定的方向彈性變形之樹脂例如鐵氟龍(註冊商標)或PEEK等。
此實施例的基板固持具50,由於如上所述的突起部54之彈性功能,若在運送臂12(14)上半導體晶圓W以其周緣部載置於該基板固持具50之上,則如圖4所示,在草皮狀突起部54之上,半導體晶圓W係沉陷至適當的深度。此沉陷量D,係小於半導體晶圓W的厚度T,特佳為其一半左右(D=0.4~0.6T)。另外,例如在300mm口徑的半導體晶圓W中,厚度T=0.8mm。若考慮到半導體晶圓W的翹曲或沉陷程度不足,則沉陷量的上限值,係半導體晶圓W的厚度左右。
在此,墊片本體52上的草皮狀突起部54之中,隱藏於半導體晶圓W之下方的突起部54,係各個接觸部54b接觸到半導體晶圓W的背面WB ,如圖5(a)所示該接觸部54b係向下撓曲以抵抗來自半導體晶圓W之縱方向的力(重力),主要是在縱方向上亦即與半導體晶圓W的板面(或是運送臂12、14的載置面)垂直的方向上,固持著半導體晶圓W。又,因為與半導體晶圓W的背面WB 接觸之突起部54的根數係相對地多而接觸面積大,所以亦可得到一定程度的由於接觸摩擦產生之橫方向的固持力。
墊片本體52上的草皮狀突起部54之中,一些暴露於半導體晶圓W之周緣部附近的突起部54,係與半導體晶圓W的側面WS 接觸,如圖5(b)所示,其接觸部54b係以朝橫向稍微後退之方式彈性變形以抵抗來自半導體晶圓W之橫向的力(推壓力),主要是在與半導體晶圓W的板面平行之方向上,固持著半導體晶圓W。又,因為與半導體晶圓W的側面WS 接觸之突起部54的根數係相對地少而接觸面積小,所以縱方向上的接觸摩擦或是阻力較小。
又,一般而言,半導體晶圓W的周緣部係施有圓角加工,如圖4所示,在草皮狀突起部54之中,亦有與此圓角部分的斜面WR 接觸者。如此與半導體晶圓W之周緣圓角部分的斜面WR 接觸之草皮狀突起部54,係位於與半導體晶圓W之背面WB 接觸之草皮狀突起部54,和與半導體晶圓W之側面WS 接觸之草皮狀突起部54之間的中間,且採取中間的彈性變形位態,而在與半導體晶圓W的板面垂直之方向上及平行之方向上,固持著半導體晶圓W。此意指:半導體晶圓W的周緣圓角斜面WR ,係具有晶圓側面及晶圓背面兩個面。
如圖6所示,若為了將半導體晶圓W移載至他處而使其從運送臂12(14)上相對地分離至上方,則在此之前由於與半導體晶圓W接觸而彈性地變形之突起部54,係藉由彈性復原力回到原本的狀態或是原本的位態。
如上所述,此實施例的基板固持具50,係具有:墊片本體52,固定於運送臂12(14)的載置面;以及多數個草皮狀突起部54,設於此墊片本體52的頂面;在固持半導體晶圓W的周緣部時,墊片本體52上的草皮狀突起部54的一部分隱藏在半導體晶圓W之下方,其餘則暴露在半導體晶圓W之外。隱藏在半導體晶圓W之下方的突起部54,係與半導體晶圓W的背面WB 接觸,相對較小的彈性係數造成朝下方相對較大之變形或位移,因重力使半導體晶圓W沉陷至適當的深度,主要是在縱方向上固持著半導體晶圓W。又,一些暴露於半導體晶圓W的周緣部附近之突起部54與半導體晶圓W的側面WS 接觸,因彈性係數大而朝橫方向僅只稍微變形,主要是在橫方向上固持著半導體晶圓W。再者,在未與半導體晶圓W的側面WS 直接接觸之突起部54之中,亦有從背後支撐著與半導體晶圓W的側面WS 接觸之突起部54者,其數量並非少數。以草皮狀突起部54的粗細、高度、形狀、配置密度、彈性力、半導體晶圓W的重量等作為參數,可分別在縱方向上及橫方向上任意調整晶圓固持力。
此實施例的基板固持具50,係藉由如上述的構造及作用,能將面向上位態的半導體晶圓W安定確實地固持在運送臂12(14)上。
亦即,因為基板固持具50,係使隱藏於半導體晶圓W之下方的突起部54朝下彈性位移,而使半導體晶圓W維持水平位態地沉陷,所以即使將半導體晶圓W載置於運送臂12(14)上時的位置有些許偏移,只要半導體晶圓W的周緣部係載置於各基板固持具50的草皮狀突起部54的一部分上,半導體晶圓W即不會傾斜而以水平位態固持於基板固持具50上。
在橫方向中,主要是因為突起部54的一部分係作用(接觸)於半導體晶圓W的側面WS ,藉由彈性力固持著半導體晶圓W,所以半導體晶圓W與突起部54之間的摩擦係數並不重要,可自由地選擇突起部54的材質及形狀。
在此實施例的基板固持具50中,各個草皮狀突起部54,在隱藏於半導體晶圓W下的情形,係在縱方向上變形,而在同方向上固持著半導體晶圓W;在半導體晶圓W的外側與晶圓側面接觸之情形,突起部不怎麼變形而在橫方向上固持著半導體晶圓W。藉此,即使半導體晶圓W的載置位置有些許的偏移,各個草皮狀突起部54亦能靈活地因應。
又,因為在各基板固持具50上半導體晶圓W的周緣部由於自身的重量沉陷至適當的深度,所以即使半導體晶圓W存在有翹曲,亦與沒有翹曲之情況一樣可安定確實地固持。
又,即使在運送中運送臂12(14)的拾取部向前傾斜,亦能以基板固持具50確實安定地固持半導體晶圓W。特別是,在叢集工具式的處理裝置中,真空運送裝置的運送臂較長,在將基板送入送出真空處理室時,當運送臂伸長時由於自身的重量而更易向前傾,因此基板容易在臂上偏移,此乃習知之問題。但是,因為在此實施形態中,即使在橫方向上,基板固持具50亦對於運送臂12(14)上的半導體晶圓W具有相當大的固持力,所以即使運送臂12(14)向前傾,亦能防止半導體晶圓W的位置偏移。
如此,在運送臂12(14)上半導體晶圓W則不會發生傾斜或位置偏移之情況。因此,半導體晶圓W滑落的可能性消失乃無須待言,更可提升藉由光學感測器來檢測運送臂12(14)上半導體晶圓W的位置之精度及可靠性。
再者,因為基板固持具50僅接觸到半導體晶圓W的周緣部,所以即使根據在真空處理室PC所受之處理而半導體晶圓W的背面狀態改變,基板固持具50的晶圓固持力則完全不會受其影響。
又,基板固持具50,作為固持墊係可自由裝卸或是自由替換,簡單且廉價地安裝在運送臂12(14)的載置面之任何地方。在基板運送裝置側,無須在運送臂12(14)上施以特別的加工即可完成,亦完全不需要用以切換基板固持的開、關之特別的控制裝置。
[實施例1的變形例]
在上述第1實施形態中,在基板固持具50上亦可使突起部54的形狀或粗細不同。例如,在基板固持具50上使未載置半導體晶圓W之外側的突起部54變粗(增強),藉由以上構造,可確實地防止運送中的半導體晶圓W之偏移。
又,在上述第1實施例中,雖係令基板固持具50的突起部54成為近似直毛型人工草皮之形體,但亦可成為近似其他人工草皮之形體。或者是,亦可使突起部54形成為小薄片狀,例如如圖7所示,可適宜地採用以鱗狀的突起部56形成之構造。
在圖7中,此鱗狀突起部56,係從墊片本體52的頂面朝斜上方延伸,因應外力亦即來自半導體晶圓W的重力或是推壓力而彈性地位移,在固持半導體晶圓W時,可達到與上述草皮狀突起部54相同的作用。
亦即,在固持半導體晶圓W的周緣部時,墊片本體52上的鱗狀突起部56的一部分隱藏在半導體晶圓W之下方,其餘則暴露在半導體晶圓W之外。而隱藏在半導體晶圓W之下方的突起部56,係與半導體晶圓W的背面WB 接觸,使半導體晶圓W維持水平位態下因重力沉陷至適當的深度,主要是在縱方向上固持著半導體晶圓W。又,一些暴露於半導體晶圓W的周緣部之突起部56與半導體晶圓W的側面WS 或是周緣圓角斜面WR 接觸,因大彈性係數朝橫方向稍微彈性位移,藉此可防止半導體晶圓W的橫向偏移,主要是在橫方向上固持著半導體晶圓W。令鱗狀突起部56的尺寸、高度、配置密度、彈性力、半導體晶圓W的重量等為參數,藉此可分別在縱方向上及橫方向上任意調整晶圓固持力。
[有關基板固持具之實施例2]
接著針對圖8~圖12,說明在本發明的第2實施例中基板固持具50的構造以及作用。
在此第2實施例中基板固持具50,係如圖8~圖10所示,其構造之特徵為:係由墊片本體60與突起部62所構成,該墊片本體60係能以例如螺栓58(圖8)裝卸於運送臂12(14)的載置面上,在此墊片本體60的頂面以一定的密度或間隔林立設置有複數個(較佳為多數)突起部62,各個突起部62係具有金屬製的彈簧構件64。
此基板固持具50的突起部62,係具有例如螺旋彈簧以作為彈簧構件64,蓋部66係成一體地包覆於於此螺旋彈簧64的上端部(圖9)。螺旋彈簧64係以對於運送臂12(14)的載置面朝垂直方向延伸之方式,來設於墊片本體60的頂面,螺旋彈簧64的基端部係埋入於墊片本體60而固定(圖10)。
墊片本體60,係具有與上述第1實施例的墊片本體52相同的形狀,亦可由同樣的材質所構成。蓋部66,較佳為具有不僅是包覆螺旋彈簧64的上端部亦包覆中間部之類的長圓筒部,至於素材則適宜使用例如鐵氟龍(註冊商標)或PEEK等樹脂。螺旋彈簧64,係亦可使用全長1cm以下的小型尺寸之物,亦可使用市售品或是特製品任一者。
在此實施形態的基板固持具50中,若在運送臂12(14)上半導體晶圓W以其周緣部載置於該基板固持具50上,則如圖11或是圖12所示,在附彈簧突起部62上半導體晶圓W沉陷至適當的深度。此沉陷量D,係小於半導體晶圓W的厚度T,特佳為其一半左右(D=0.4~0.6T)。
在此,墊片本體60上的附彈簧突起部62之中,隱藏於半導體晶圓W之下方的突起部62,係以蓋部66的頂部接觸到半導體晶圓W的背面WB ,螺旋彈簧64係朝軸方向壓縮變形以抵抗來自半導體晶圓W之縱方向的力(重力),主要是在縱方向上亦即與半導體晶圓W的板面(或是運送臂12、14的載置面)垂直之方向上,固持著半導體晶圓W。
又,墊片本體60上的附彈簧突起部62之中,一些暴露於半導體晶圓W之周緣部附近的突起部62,係以低於蓋部66的底部之部位接觸到半導體晶圓W的側面WS 或是圓角斜面WR ,以抵抗來自半導體晶圓W之橫向的力(推壓力),主要是在與半導體晶圓W的板面平行之方向上,固持著半導體晶圓W。
在此情形,因為以蓋部66的圓筒狀主體部與半導體晶圓W的側面WS 接觸之附彈簧突起部62,幾乎未承受軸方向上的負載,所以螺旋彈簧64幾乎不會(或是稍微)壓縮變形(圖11)。另一方面,因為蓋部66的頭部與半導體晶圓W的周緣圓角斜面WR 接觸之附彈簧突起部62,係承受軸方向上的負載,所以螺旋彈簧64稍微壓縮變形(圖12)。
如上所述,在此第2實施例中基板固持具50,係具有:墊片本體60,固定於運送臂12(14)的載置面;以及多數個附彈簧突起部62,林立設置於此墊片本體60的頂面;在固持半導體晶圓W的周緣部時,墊片本體60上的附彈簧突起部62的一部分隱藏在半導體晶圓W之下方,其餘則暴露在半導體晶圓W之外。而隱藏在半導體晶圓W之下方的附彈簧突起部62,係與半導體晶圓W的背面WB 接觸,螺旋彈簧64在軸方向上壓縮變形,而因重力使半導體晶圓W沉陷至適當的深度,主要是在縱方向上固持著半導體晶圓W。又,一些暴露於半導體晶圓W的周緣部之附彈簧突起部62與半導體晶圓W的側面WS 或是周緣圓角斜面WR 接觸,螺旋彈簧64在軸方向上幾乎不會或是僅有一點壓縮變形,主要是在橫方向上固持著半導體晶圓W。令附彈簧突起部62的直徑、高度、蓋部形狀、配置密度、彈簧係數、半導體晶圓W的重量等為參數,藉此可分別在縱方向上及橫方向上任意調整晶圓固持力。
例如,半導體晶圓W為300mm口徑之情形,其重量為130g。在真空運送機械人16中,假設運送臂12(14)的移動速度為0.5m/sec,在1秒就停止,則停止時的加速度為0.5m/sec2 ,驅動半導體晶圓W之橫方向的力為130g×0.5m/sec2 =65 gm/sec2 。在與半導體晶圓W接觸的突起部62之中,若令抵抗此橫方向的力之突起部62的根數(在圖2的例中在臂前部的2個基板固持具50中,抵抗橫方向的力之突起部62的根數)例如為20根,則每1根的負重約為3gm/sec2 。因此,在橫方向上,突起部62的強度係設計成能承受約3gm/sec2 的負重即可。
在縱方向上,半導體晶圓W的沉陷量,宜為其厚度(例如0.8mm)以下,在此情形,若令承受半導體晶圓W的重量之突起部62的根數(在圖2的例中在臂前部及後部的4個基板固持具50中,承受半導體晶圓W的重量之突起部62的根數)為240根,則每1根的負重為130g/240根=約0.5g/根。因此,在縱方向上,突起部62的彈性特性係設計成對於約0.5g的負重以0.8mm以下的沉陷量確實地朝下方變形或是位移即可。
在如上所述之突起部62中橫方向的強度及縱方向的彈性特性,亦同樣適用於上述第1實施形態的突起部54中。
此第2實施例的基板固持具50,亦可達成與上述第1實施例的基板固持具同樣的效果,係無須在運送臂12(14)上施以特別的加工即可裝卸,可簡單且廉價地安裝,亦不受到半導體晶圓W的背面狀態或翹曲影響,在運送臂12(14)的載置面上即使半導體晶圓W有些許偏移,亦能以正確的位態安定地固持基板。
除此之外,因為突起部62的彈性位移係藉由金屬製的彈簧所進行,所以第2實施例的基板固持具50在基板固持的再現性、安定性及耐久性上,具有巨大的優點。
再者,在以金屬或陶瓷形成第2實施例的基板固持具50之情形,則具有維持基板的固持力且耐熱性高之優點。又,在考慮到金屬污染之情形,較佳為使用較半導體晶圓柔軟的素材,例如可舉出耐熱性塑膠。
再者,亦可使基板固持具50的突起部62接地,俾能除去半導體晶圓W的電荷。若根據此構造,則可消除在電漿處理後之帶電的半導體晶圓W與處理裝置內的構件等之間引起火花的疑慮。
[實施例2的變形例1]
在上述第2實施例的基板固持具50中,突起部62的彈簧,並不限定於螺旋彈簧,亦可同樣地使用例如如圖13所示之壓縮線圈彈簧68。
此壓縮線圈彈簧68,係收納於在墊片本體52的頂面於縱方向上所形成的沉頭孔70中,對於從半導體晶圓W所承受的負載或是推壓力,在縱方向上亦即對運送臂12(14)的載置面垂直的方向上彈性變形。壓縮線圈彈簧68的下端係固定於沉頭孔70的底部,於壓縮線圈彈簧68的上端部係包覆有圓柱狀的蓋部66。沉頭孔70的內壁,係由用以將蓋部66導引在縱方向上的筒狀導引部,與用以規定蓋部66的肩部66a(甚至頂部)的上限位置之止動部所構成。
[實施例2的變形例2]
接著針對圖14~圖16,說明具有附彈簧突起部62的第2實施例之第2變形例。在此第2變形例中基板固持具50的外觀構造係示於圖14,其放大圖係示於圖15。
在此第2變形例中基板固持具50,亦與上述第1變形例(圖13)同樣地具有:墊片本體60,能以例如螺栓58可裝卸地固定於運送臂12(14)的頂面;以及複數個(較佳為多數)可彈性位移的突起部62,收納於此墊片本體60頂面的沉頭孔70;而各個突起部62係具有彈簧構件68。與上述第1變形例主要之相異點,係突起部62的根數大幅減少,及於突起部62的配置圖案所包含之創意。
更詳細來看,如圖15所示,將設於墊片本體72的頂面之突起部62的總數刪減為例如數量10根以下,來降低配置密度,藉此減少承受半導體晶圓W的重量之突起部62的彈簧力。因此,可使半導體晶圓W更確實地沉陷。又,如圖16所示,亦可構成如下:例如可實現與半導體晶圓W的厚度T相等的沉陷量D。藉由將沉陷量D提升到此種程度,即使半導體晶圓W上發生翹曲或沉陷程度不足,亦可充分地得到安定的晶圓固持力。
又,如圖15所示,在對於通過臂全體的載置面的中心點(或是基準晶圓載置位置的中心點)O與墊片本體60的中心之直線N呈線對稱,且頂點朝向中心點O的V字形的線上,以一定的間隔配置複數之突起部62,且沿著直線N設有複數列(圖示之例為2列)V圖案。根據此類的突起部配置圖案,則對於半導體晶圓W的位置偏移,以每個一定之間距p(例如p=0.2mm),設有在直線N上的1個突起部62或是直線N兩側的一對突起部62、62,藉此可有效而安定地固持半導體晶圓W的側面,且可使成為半導體晶圓W的襯墊之所有突起部62確實地沉陷至充分的深度。
在此實施例中,亦有其他各種特徵點。例如,如圖16所示,在運送臂12(14)的頂面形成的凹部72之中安裝有墊片本體60,藉此可實現具有基板固持具50之運送臂12(14)的薄型化。
亦可將墊片本體60與運送臂12(14)製成為一體。藉此,可減少零件數量,而易於進行運送臂12(14)的清洗作業。
又,在基板固持具50上為了避免損傷到半導體晶圓W的背面,如圖16所示,可適宜地採用以下之構造:於突起部62的蓋部66之頂部施以去角加工或是R加工66b。或者是,雖省略圖示,但亦可採用以下之構造(球接頭):以剛體的球部僅暴露其上部之方式使其可旋轉地埋入蓋部66的頂部。
又,如圖16所示,較佳為使載置半導體晶圓W而沉陷之突起部62,不要完全沉陷於墊片本體60中(亦即,使蓋部66的頂部稍微突出於上方)。而將墊片本體60的沉頭孔70內的側方間隙適度地加大,俾使蓋部66能朝橫方向位移。藉此,配合半導體晶圓W的動向,載持其之突起部62亦一起朝橫向移動,因此可更進一步提升對於半導體晶圓W之橫方向的固持力。
關於基板固持具50的材質,從耐久性的面向來看較佳為金屬,從耐藥性的面向來看較佳為樹脂(特別是鐵氟龍(註冊商標)、PEEK(商標名稱)),從耐熱性的面向來看較佳為陶瓷(碳化矽、氧化鋁)、石英、聚醯亞胺、炭等。特別是在陶瓷或炭之情形,因為使用陶瓷彈簧或炭彈簧,所以可令基板固持具50的全部零件或是構件為陶瓷製或者是炭製。
[其他實施形態或是變形例]
以上說明了本發明之適宜的實施形態,但本發明不限定於上述實施形態,於其技術性思想範圍內,可為其他實施形態或是各式各樣改變、變更。
例如,在上述實施形態之叢集工具式的真空處理裝置(圖1)中,上述實施形態的基板固持具50亦可適用於設於裝載運送室LM內之單片式的大氣運送機械人(基板運送裝置)20之運送臂22、24
又,在本發明中的被處理基板,並不限於半導體晶圓,亦包含FPD(特別是有機EL(Organic Electro luminescence,有機電致發光元件)、液晶面板)用的各種基板、光罩、印刷電路板等。因此,例如如圖17所示,可將上述實施形態的基板固持具50安裝於FPD用的基板運送裝置的運送臂74上。
圖17的運送臂74,係具有從臂本體76朝前方平行延伸之一對外側支持部78以及一對內側支持部80。在此,為了固持FPD用的矩形基板G左右兩側之周緣部,在相對較短的外側支持部78的前端,係形成朝內側突出的爪部78a,在此爪部78a的頂面係安裝有基板固持具50。又,相對較長的內側支持部80的前端部80a,係稍微突出於矩形基板G前部之周緣部,於此前端部80a上亦安裝有基板固持具50。再者,內側支持部80的基端部80b係稍微突出於矩形基板G後部之周緣部,於此基端端部80b上亦安裝有基板固持具50。另外,在臂本體76之任意處形成之矩形或是圓形的開口82,係用以使其輕量化的孔。
如此,藉由將基板固持具50安裝於運送臂74上,FPD用的基板運送裝置,在以運送臂74固持矩形基板G而運送時,臂位態、臂移動軌跡、運送速度無須受到基板固持部的限制,可任意且自由地選擇之,而可提升運送能力。而藉由提升基板運送裝置的運送能力,FPD用的基板處理裝置或是多重單片式線上處理系統的產能為之提升。
另外,在本發明的基板運送裝置中,安裝於運送臂的基板固持具並非全部必然是本發明的基板固持具,亦可併用習知的基板固持具與本發明的基板固持具。因此,例如在圖2的運送臂12(14)中或是圖17的運送臂74中,僅令於易向前傾的臂前端部上所安裝之基板固持具為本發明的基板固持具,其他的基板固持具係亦可使用習知的例如推拔形墊方式之基板固持具。
又,本發明的基板固持具,並不限於基板運送裝置的運送臂,亦可適用於將基板或是板狀體固持而運送或移動之任一運送體或是移動體。在此情形,在運送體或是移動體上藉由本發明的基板固持具所固持之基板或是板狀體並不必然限定於水平位態或面朝上位態,亦可採用主面或是被處理面朝下之位態,或朝斜向大幅傾斜之位態,亦可極端地採用垂直位態。
10...載置台
12、14...運送臂
12a、14a...拾取部
16...真空運送機械人
18...傳遞台
20...大氣運送機械人
22、24...運送臂
25...LP門
26...線性馬達
28...線性導軌
30...控制部
32...一對導軌
34...滾珠螺桿機構
36...給進螺桿
38...馬達
40...基座部
42...臂伸縮驅動部
50...基板固持具
52...墊片本體
54...(草皮狀)突起部
54a...基部
54b...接觸部
56...(鱗狀)突起部
58...螺栓
60...墊片本體
62...(附彈簧)突起部
64...螺旋彈簧/彈簧構件
66...蓋部
66a...肩部
66b...R加工
68...壓縮線圈彈簧
70...沉頭孔
72...凹部
74...運送臂
76...臂本體
78...一對外側支持部
78a...爪部
80...一對內側支持部
80a...前端部
80b...基端端部
82...開口
CR...晶圓匣盒
D...沉陷量
FV ...垂直方向的力
FH ...水平方向的力
G...矩形基板
GV1 ~GV6 、GVa ~GVd ...閘閥
LLCa 、LLCb ...真空預備室
LM...裝載運送室
LP...裝載埠
N...直線
O...中心點
ORT...對位機構
P...間距
PC1 ~PC6 ...真空處理室
PH...平台
T...厚度
W...晶圓
WB ...晶圓背面
WR ...晶圓斜面
WS ...晶圓側面
圖1係顯示在本發明的一實施形態中基板處理裝置的構造之平面圖。
圖2係顯示在上述基板處理裝置所使用的基板運送裝置的運送臂之主要部分的外觀構造之立體圖。
圖3係示意地顯示在第1實施例中基板固持具的外觀構造之立體圖。
圖4係示意地顯示上述基板固持具的一作用之側視圖。
圖5(a)、(b)係示意地顯示上述基板固持具的一作用之側視圖。
圖6係示意地顯示上述基板固持具的一作用之側視圖。
圖7係示意地顯示在第1實施例的一變形例中基板固持具的外觀構造之立體圖。
圖8係示意地顯示在第2實施例中基板固持具的外觀構造之平面圖。
圖9係顯示上述基板固持具的突起部的構造之立體圖。
圖10係示意地顯示上述基板固持具的構造之一部分剖面側視圖。
圖11係示意地顯示上述基板固持具的一作用之側視圖。
圖12係示意地顯示上述基板固持具的一作用之側視圖。
圖13係顯示在第2實施例的一變形例中基板固持具的構造之圖(側視圖及部分放大剖面圖)。
圖14係示意地顯示在第2實施例的第2變形例中基板固持具的外觀構造之平面圖。
圖15係放大顯示在上述第2變形例中基板固持具的外觀構造之平面圖。
圖16係顯示在上述第2變形例中基板固持具的構造之圖(側視圖及部分放大剖面圖)。
圖17係顯示將實施形態的基板固持具安裝於用以運送FPD用的矩形基板之運送臂的例子之平面圖。
12、14...運送臂
50...基板固持具
52...墊片本體
54...(草皮狀)突起部
54a...基部
54b...接觸部
D...沉陷量
T...厚度
W...晶圓
WB ...晶圓背面
WR ...晶圓斜面
WS ...晶圓側面

Claims (31)

  1. 一種基板固持具,安裝於用來運送被處理基板之運送體的載置面,與該基板之基板周緣部接觸而固持該基板;該基板固持具包含:墊片本體,呈板狀或是塊狀,固定於該運送體的載置面;以及複數個突起部,自該墊片本體延伸,可彈性地變形;該複數個突起部的一部分固持該基板的背面;該複數個突起部的另一部分固持該基板的側面。
  2. 一種基板固持具,安裝於將被處理基板面朝上載置運送之運送體的載置面,與該基板之基板周緣部接觸而固持該基板;該基板固持具包含:墊片本體,呈板狀或是塊狀,固定於該運送體的載置面;以及複數個突起部,自該墊片本體的頂面朝上方延伸,可彈性地變形;該複數個突起部的一部分,與該基板的背面接觸,主要是在與該基板的板面垂直之方向上固持著該基板;該複數個突起部的另一部分,與該基板的側面接觸,主要是在與該基板的板面平行之方向上固持著該基板。
  3. 如申請專利範圍第1或2項之基板固持具,其中,該突起部具有彈簧構件。
  4. 如申請專利範圍第3項之基板固持具,其中,該彈簧構件係安裝成在對於該運送體的載置面垂直的方向上可彈性地變形。
  5. 如申請專利範圍第3項之基板固持具,其中,該彈簧構件的至少基端部,埋入於該墊片本體之中。
  6. 如申請專利範圍第3項之基板固持具,其中,該突起部具有包覆該彈簧構件的上端部之蓋部。
  7. 如申請專利範圍第6項之基板固持具,其中,該蓋部具有包覆該彈簧構件的中間部之筒部。
  8. 如申請專利範圍第6項之基板固持具,其中,該蓋部由樹脂所構成。
  9. 如申請專利範圍第7項之基板固持具,其中,該蓋部由導電體所構成,且電性接地。
  10. 如申請專利範圍第6項之基板固持具,其中,在該墊片本體上,設有筒狀導引部,其用以將該蓋部在對於該運送體的載置面垂直之方向上引導。
  11. 如申請專利範圍第6項之基板固持具,其中,該蓋部係設成可在對於該運送體的載置面平行之方向上位移。
  12. 如申請專利範圍第1或2項之基板固持具,其中,該墊片本體係安裝在形成於該運送體之載置面的凹部之中。
  13. 如申請專利範圍第1或2項之基板固持具,其中,該墊片本體係與該運送體一體成型。
  14. 如申請專利範圍第1或2項之基板固持具,其中,在該墊片本體上,於頂點朝向該運送體全體的載置面中心點之V字形的線上以一定的間隔配置有複數之該突起部。
  15. 如申請專利範圍第1或2項之基板固持具,其中,該突起部形成為草皮狀或是小薄片狀。
  16. 如申請專利範圍第15項之基板固持具,其中,該突起部形成為鱗狀。
  17. 如申請專利範圍第15項之基板固持具,其中,該突起部的前端部,朝向與該運送體的載置面直交之方向斜傾。
  18. 如申請專利範圍第15項之基板固持具,其中,該突起部係形成為:其先端部朝向在該運送體上所載置之該基板輪廓的內側。
  19. 如申請專利範圍第15項之基板固持具,其中,該突起部的彈性為:對於從該基板所受的力,在與該基板的板面平行的方向上之彈性係數,係大於在與該基板的板面垂直的方向上之彈性係數。
  20. 如申請專利範圍第15項之基板固持具,其中,該突起部的基部埋入於該墊片本體。
  21. 如申請專利範圍第15項之基板固持具,其中,該突起部與該墊片本體係一體成型。
  22. 如申請專利範圍第15項之基板固持具,其中,該突起部係由橡膠狀彈性體所構成。
  23. 如申請專利範圍第22項之基板固持具,其中,該突起部係由氟橡膠所構成。
  24. 如申請專利範圍第15項之基板固持具,其中,該突起部係由樹脂所構成。
  25. 如申請專利範圍第1或2項之基板固持具,其中,由於與該基板的背面接觸之該突起部的彈性位移,該基板的沉陷深度係小於該基板的厚度。
  26. 如申請專利範圍第1或2項之基板固持具,其中,該墊片本體係配設於該運送體之既定位置,俾於固持該基板的周緣部時,該複數個突起部的一部分隱藏在該基板之下方,而該複數個突起部的其他部分則暴露在該基板之外。
  27. 一種基板運送裝置,用以運送被處理基板,包含:運送基座部,可在大氣壓下或是減壓下的室內移動;運送臂,搭載於該運送基座部,可載置並支持該基板;臂驅動部,用以在該運送基座部上使該運送臂朝既定的方向移動;以及基板固持部,為了固持該基板而安裝於該運送臂,具有如申請專利範圍第1或2項之基板固持具。
  28. 如申請專利範圍第27項之基板運送裝置,其中,該運送臂可在水平面內旋轉運動,且可在與旋轉圓的半徑平行之方向上進退移動。
  29. 如申請專利範圍第27項之基板運送裝置,其中,該基板固持具係以可裝卸方式安裝於該運送臂。
  30. 一種基板處理裝置,具有:單片式的處理單元,用以在大氣壓下或是減壓下對被處理基板施以既定的處理;以及基板運送機構,包含安裝有如申請專利範圍第1或2項之基板固持具的運送臂,將該基板載置於該運送臂上,用以運送該基板,將該基板送入該處理單元,或從該處理單元將該基板送出。
  31. 如申請專利範圍第30項之基板處理裝置,其中,該基板固持具係以可裝卸的方式安裝於該運送臂。
TW100104151A 2010-02-05 2011-02-08 基板固持具及基板運送裝置及基板處理裝置 TWI412101B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010024283 2010-02-05

Publications (2)

Publication Number Publication Date
TW201227868A TW201227868A (en) 2012-07-01
TWI412101B true TWI412101B (zh) 2013-10-11

Family

ID=44355223

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100104151A TWI412101B (zh) 2010-02-05 2011-02-08 基板固持具及基板運送裝置及基板處理裝置

Country Status (6)

Country Link
US (2) US20120315113A1 (zh)
JP (1) JP5258981B2 (zh)
KR (1) KR101259862B1 (zh)
CN (1) CN102741995A (zh)
TW (1) TWI412101B (zh)
WO (1) WO2011096208A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10847407B2 (en) 2015-11-19 2020-11-24 Ebara Corporation Substrate holding apparatus

Families Citing this family (382)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120305192A1 (en) * 2011-06-03 2012-12-06 Arthur Keigler Parallel single substrate processing fluid jet module
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN106373911B (zh) * 2011-09-22 2019-04-09 东京毅力科创株式会社 基板处理装置及基板处理方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP2791034B1 (en) * 2011-12-16 2021-01-27 Brooks Automation, Inc. Transport apparatus
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014093420A (ja) * 2012-11-02 2014-05-19 Toyota Motor Corp ウェハを支持ディスクに接着する治具、および、それを用いた半導体装置の製造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9004564B2 (en) * 2013-03-13 2015-04-14 Varian Semiconductor Equipment Associates, Inc. Wafer handling apparatus
US10297481B2 (en) * 2013-03-21 2019-05-21 Tokyo Electron Limited Magnetic annealing apparatus
US8864202B1 (en) * 2013-04-12 2014-10-21 Varian Semiconductor Equipment Associates, Inc. Spring retained end effector contact pad
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10312127B2 (en) * 2013-09-16 2019-06-04 Applied Materials, Inc. Compliant robot blade for defect reduction
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP5802735B2 (ja) * 2013-12-27 2015-11-04 ファナック株式会社 退避装置を備えた対象物搬送システム
KR101575129B1 (ko) * 2014-01-13 2015-12-08 피에스케이 주식회사 기판 이송 장치 및 방법, 그리고 기판 처리 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
WO2016017485A1 (ja) * 2014-07-29 2016-02-04 シャープ株式会社 基板処理装置
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6435795B2 (ja) 2014-11-12 2018-12-12 株式会社ダイフク 物品搬送設備
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6456712B2 (ja) * 2015-02-16 2019-01-23 東京エレクトロン株式会社 基板保持機構及びこれを用いた基板処理装置
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
JP2017035743A (ja) * 2015-08-07 2017-02-16 日東電工株式会社 搬送装置
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN106856186B (zh) * 2015-12-08 2020-02-18 上海微电子装备(集团)股份有限公司 一种硅片交接精度控制装置、硅片吸附台、硅片传输系统及硅片交接方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6357187B2 (ja) * 2016-03-31 2018-07-11 キヤノン株式会社 搬送装置、リソグラフィ装置、および物品の製造方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6298099B2 (ja) * 2016-05-18 2018-03-20 キヤノントッキ株式会社 基板搬送装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
JP6774507B2 (ja) 2016-07-06 2020-10-28 エーエスエムエル ネザーランズ ビー.ブイ. 基板ホルダ及び基板ホルダを製造する方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6774714B2 (ja) * 2016-07-25 2020-10-28 株式会社アドテックエンジニアリング ワークステージ及び露光装置
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6700149B2 (ja) 2016-09-29 2020-05-27 株式会社Screenホールディングス 姿勢変更装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9975255B1 (en) * 2016-12-15 2018-05-22 Jabil Inc. Apparatus, system and method for providing a conformable vacuum cup for an end effector
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
WO2018179201A1 (ja) * 2017-03-30 2018-10-04 シャープ株式会社 吸着装置、運搬装置、elデバイス製造装置
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10399231B2 (en) * 2017-05-22 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate handling contacts and methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
CN108983552B (zh) 2017-05-31 2020-01-24 上海微电子装备(集团)股份有限公司 一种移入移出机构及光刻机工件台移入移出装置
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR20180133335A (ko) * 2017-06-06 2018-12-14 템프레스 아이피 비.브이. 웨이퍼 파지기 조립체, 시스템, 및 그 사용
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
KR102206687B1 (ko) * 2017-06-26 2021-01-22 니뽄 도쿠슈 도교 가부시키가이샤 기판 유지 부재
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102492533B1 (ko) 2017-09-21 2023-01-30 삼성전자주식회사 지지 기판, 이를 이용한 반도체 패키지의 제조방법 및 이를 이용한 전자 장치의 제조 방법
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN109786312A (zh) * 2017-11-15 2019-05-21 福建钧石能源有限公司 一种太阳能电池载板
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
JP6353969B1 (ja) * 2017-11-29 2018-07-04 株式会社ユー・エム・アイ 搬送具と搬送方法と搬送具ユニット
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN108706342A (zh) * 2018-07-30 2018-10-26 安徽旭能电力股份有限公司 一种可调式太阳能玻璃的防护输送设备
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
JP7131334B2 (ja) * 2018-11-29 2022-09-06 株式会社安川電機 基板支持装置、基板搬送ロボットおよびアライナ装置
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109625970B (zh) * 2019-01-23 2020-10-30 深圳市华星光电技术有限公司 基板搬运机械手
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11600580B2 (en) * 2019-02-27 2023-03-07 Applied Materials, Inc. Replaceable end effector contact pads, end effectors, and maintenance methods
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN110112588A (zh) * 2019-06-19 2019-08-09 广东电网有限责任公司 一种接地线五防系统
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102301114B1 (ko) * 2019-09-06 2021-09-10 주식회사 글린트머티리얼즈 이중 구조의 미끄럼 방지 패드 및 이를 구비한 웨이퍼 이송 로봇
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
JP7256728B2 (ja) * 2019-10-04 2023-04-12 株式会社荏原製作所 基板ホルダ及び基板処理装置
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
CN112682465A (zh) * 2019-10-17 2021-04-20 夏泰鑫半导体(青岛)有限公司 承载装置及半导体制造装置的加载部件
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN111334782B (zh) * 2020-02-28 2022-05-27 北京北方华创微电子装备有限公司 半导体设备及其电极装置
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
KR20220027475A (ko) * 2020-08-27 2022-03-08 주식회사 글린트머티리얼즈 관통홀을 포함한 반도체 웨이퍼 이송용 로봇암 블레이드 및 이에 장착되는 미끄럼 방지 패드
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117721429B (zh) * 2024-02-08 2024-04-23 成都国泰真空设备有限公司 磁控溅射镀膜设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5626675A (en) * 1993-11-18 1997-05-06 Tokyo Electron Limited Resist processing apparatus, substrate processing apparatus and method of transferring a processed article
US5984293A (en) * 1997-06-25 1999-11-16 Mcms, Inc. Apparatus for holding printed circuit board assemblies in manufacturing processes
JP2003077980A (ja) * 2001-09-06 2003-03-14 Yaskawa Electric Corp ウェハ搬送用ハンド
US20060291988A1 (en) * 2005-06-28 2006-12-28 Wataru Machiyama Transfer apparatus for target object
US20080267741A1 (en) * 2007-04-24 2008-10-30 Tokyo Electron Limited Substrate attracting device and substrate transfer apparatus

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3097720B2 (ja) * 1993-03-29 2000-10-10 大日本スクリーン製造株式会社 基板支持アーム
JP3437559B2 (ja) * 1993-12-24 2003-08-18 東京エレクトロン株式会社 処理装置
US5722646A (en) * 1995-08-29 1998-03-03 Cna Manufacturing Systems, Inc. Flexible tooling apparatus
JP2000003951A (ja) 1998-06-16 2000-01-07 Tokyo Electron Ltd 搬送装置
US6726195B1 (en) * 1998-10-13 2004-04-27 Dek International Gmbh Method for ensuring planarity when using a flexible, self conforming, workpiece support system
US6056026A (en) * 1998-12-01 2000-05-02 Asyst Technologies, Inc. Passively activated valve for carrier purging
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20020071756A1 (en) * 2000-12-13 2002-06-13 Gonzalez Jose R. Dual wafer edge gripping end effector and method therefor
US6497403B2 (en) * 2000-12-28 2002-12-24 Memc Electronic Materials, Inc. Semiconductor wafer holder
JP4038653B2 (ja) * 2001-12-03 2008-01-30 株式会社安川電機 ウェハ搬送フォーク
US6824343B2 (en) * 2002-02-22 2004-11-30 Applied Materials, Inc. Substrate support
JP2005126814A (ja) * 2003-09-30 2005-05-19 Seiko Epson Corp 表面処理方法
US7490878B1 (en) * 2003-12-29 2009-02-17 Storage Technology Corporation ESD safe vacuum wand tip
DE102004029359B4 (de) * 2004-06-17 2006-08-03 Siemens Ag Vorrichtung zum Lagern eines Gegenstandes
JP4841183B2 (ja) * 2005-06-28 2011-12-21 東京エレクトロン株式会社 基板処理装置,搬送装置,搬送装置の制御方法
JP4954728B2 (ja) * 2007-01-26 2012-06-20 東京エレクトロン株式会社 ゲートバルブの洗浄方法及び基板処理システム
JP4922915B2 (ja) * 2007-12-28 2012-04-25 大日本スクリーン製造株式会社 基板処理装置および基板の芯合わせ方法
JP5357694B2 (ja) * 2009-07-03 2013-12-04 東京エレクトロン株式会社 位置ずれ防止装置、これを備えた基板保持具、基板搬送装置および基板搬送方法
KR101208644B1 (ko) * 2009-07-03 2012-12-06 도쿄엘렉트론가부시키가이샤 위치 이탈 방지 장치, 이를 구비한 기판 보지구, 기판 반송 장치 및 기판 반송 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5626675A (en) * 1993-11-18 1997-05-06 Tokyo Electron Limited Resist processing apparatus, substrate processing apparatus and method of transferring a processed article
US5984293A (en) * 1997-06-25 1999-11-16 Mcms, Inc. Apparatus for holding printed circuit board assemblies in manufacturing processes
JP2003077980A (ja) * 2001-09-06 2003-03-14 Yaskawa Electric Corp ウェハ搬送用ハンド
US20060291988A1 (en) * 2005-06-28 2006-12-28 Wataru Machiyama Transfer apparatus for target object
US20080267741A1 (en) * 2007-04-24 2008-10-30 Tokyo Electron Limited Substrate attracting device and substrate transfer apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10847407B2 (en) 2015-11-19 2020-11-24 Ebara Corporation Substrate holding apparatus
TWI719075B (zh) * 2015-11-19 2021-02-21 日商荏原製作所股份有限公司 基板保持裝置

Also Published As

Publication number Publication date
US20120315113A1 (en) 2012-12-13
US9406539B2 (en) 2016-08-02
WO2011096208A1 (ja) 2011-08-11
CN102741995A (zh) 2012-10-17
JP5258981B2 (ja) 2013-08-07
KR101259862B1 (ko) 2013-05-02
KR20120096599A (ko) 2012-08-30
US20140093336A1 (en) 2014-04-03
JPWO2011096208A1 (ja) 2013-06-10
TW201227868A (en) 2012-07-01

Similar Documents

Publication Publication Date Title
TWI412101B (zh) 基板固持具及基板運送裝置及基板處理裝置
TWI696231B (zh) 基板的雙面加工系統及方法
TWI518832B (zh) 真空處理系統架構
TWI509725B (zh) 基板處理裝置
US20170062258A1 (en) Wafer plate and mask arrangement for substrate fabrication
US10879101B2 (en) Process apparatus with on-the-fly substrate centering
JP6816132B2 (ja) 基板製造のためのウエハプレートおよびマスク器具
CN102414810A (zh) 自动基板加载站
KR102652598B1 (ko) 기판 반송 장치, 기판 반송 방법, 및 기판 처리 시스템
US20150249029A1 (en) Load station
US11183411B2 (en) Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency
JP2011086795A (ja) 基板搬送装置及びこの基板搬送装置を備えた真空処理システム
JPH06247507A (ja) 搬送アーム
US20190378742A1 (en) Carrier for use in a vacuum system, system for vacuum processing, and method for vacuum processing of a substrate
KR102073728B1 (ko) 기판 이송 장치 및 기판 이송 방법
KR20100118290A (ko) 웨이퍼 이송용 핸들러