TWI518832B - 真空處理系統架構 - Google Patents

真空處理系統架構 Download PDF

Info

Publication number
TWI518832B
TWI518832B TW102114999A TW102114999A TWI518832B TW I518832 B TWI518832 B TW I518832B TW 102114999 A TW102114999 A TW 102114999A TW 102114999 A TW102114999 A TW 102114999A TW I518832 B TWI518832 B TW I518832B
Authority
TW
Taiwan
Prior art keywords
carrier
substrate
mask
wafer
chamber
Prior art date
Application number
TW102114999A
Other languages
English (en)
Other versions
TW201401412A (zh
Inventor
泰瑞 布拉克
維內 沙
艾力克斯 瑞普森
Original Assignee
因特瓦克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 因特瓦克公司 filed Critical 因特瓦克公司
Publication of TW201401412A publication Critical patent/TW201401412A/zh
Application granted granted Critical
Publication of TWI518832B publication Critical patent/TWI518832B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Description

真空處理系統架構
本案主張美國臨時申請案(Provisional Application)61/639,052號,申請日2012年4月26日之優先權,該案的全部揭露併入本案作為參考。
本件申請是關於真空處理系統,例如在製備太陽能電池、平板顯示器、觸控螢幕等製程所使用的系統。
許多系統在製備半導體集成電路、太陽能電池、觸控螢幕等,為領域中所熟知。這些系統的製程都是在真空中進行的,並且包含如物理氣相沉積(PVD)、化學氣相沉積(CVD)、離子植入、蝕刻等。有兩種基本的方法可應用在這樣的系統中:單一基板製程及批次製程。在單一晶圓製程中,僅有一個基板在腔室內。在批次製程時,則有數個基板在腔室內。單一基板製程可使腔室內的處理,以及在基板上所產製之薄膜或結構獲得高水平的控制,但會造成相對較低的載量。相反的,批次製程在處理條件及產製的薄膜或結構有較差的控制,但提供了更高的載量。
批次製程一般用於運送或製備的基板是以n*m二維陣列組成,例如用於製備太陽能電池、觸控螢幕等系統。例如,於2005年,由Roth&Rau公司開發,以用於太陽能製備的電漿輔助化學氣相沈積系統,係使用5*5晶圓托盤,而有每小時1200個晶圓載量的報告。然而,其他系統利用二維陣列6*6、7*7、8*8的托盤,甚至更多數量的晶圓。雖然利用二維晶圓陣列使得載量增加,但這種大型承載的處理以及裝載及卸載的操作會變得複雜。
在一些製程中,必須要對處理之基板施加偏壓,例如高頻或 直流電壓。然而,由於批次系統係利用移動托盤來帶動基板,因此於施加偏壓時會有困難。
並且,有些製程可於基板擺放為水平時進行,有些製程卻是在基板擺放為垂直時有利。然而,相較於基板水平時的裝載及卸載,垂直的裝載及卸載是複雜的。
有些製程需要使用遮罩,以遮蓋部分基板來進行特定製備過程。例如,遮罩可能使用於製造接點或邊緣排除,以避免電池的分流。也就是,如果電池的正面與背面兩面都有接觸點,用以製作接觸點的材料可能會沉積在晶圓的邊緣,而使正面與背面的接點分流。因此最好至少在製備正面與背面的接點時,利用遮罩來遮蔽電池邊緣。
製備矽太陽能電池的另一個製程步驟是需要將金屬毯覆式的沉積在背側表面,以用來反射光並作為電導體。該金屬通常是指鋁,但毯覆式金屬可因多種原因,例如成本、導電性、焊接接合度等考量,而選擇使用任何金屬。薄膜沉積的厚度可能非常薄,如10微米,但也可能非常厚,如2至3毫米。然而在製程中必須要防止毯覆式金屬堆疊在矽晶圓的邊緣,否則會於太陽能電池正面與背面表面間產生電阻連接,亦即分流(shunt)。為了避免產生此種連接,可以晶圓背面邊緣建立排除區域。排除區域寬度通常是小於2公釐,但排除區域的寬度應是越薄越好。
一種製作排除區域的方式是透過遮罩的使用。然而,利用遮罩會有很多挑戰。由於太陽能產業的高度競爭特性,遮罩必須能以低廉的成本製作。同時,由於太陽能電池製備器材的高載量(通常是每小時1500至2500個電池)需求,遮罩必須能快速且容易使用於大量製造。不但如此,既然遮罩係用於避免薄膜沉積在晶圓的某些部分,遮罩必須能夠吸收並容納產生的沉積。此外,由於薄膜沉積是在升高的溫度下進行,遮罩必須能夠在升高的溫度,例如高達攝氏350度下正常運作,同時仍能準確維持排除區域的寬度,以及適應基板因為熱應力所造成的翹曲。
以下發明簡述提供作為對本發明數種面向及技術特徵之基本理解。發明簡述並非對本發明之廣泛介紹,也因此並非用來特別指出本 發明之關鍵性或是重要元件,也非用來界定本發明之範圍。其唯一目的僅在以簡單之方式展示本發明之數種概念,並作為以下發明詳細說明之前言。
本發明的實施例提供一種模組化的系統結構,能夠用於不同的製程及製程步驟,而且可應用於多種用途,可適用於各種裝置的製備,包含,例如:太陽能電池、平板顯示器、觸控螢幕等等。此外,本發明的系統無須重新配置,而僅須透過簡單的承載盤替換步驟,即可用來處理不同類型和尺寸的基板。
本發明的系統結構使基板可於大氣環境下進行例如裝載及卸載等處理,而與真空環境中的製程分離。此外,本發明數種實施例可利用手動裝載及卸載,而閒置自動化,或不設置自動裝卸載設備。即,系統可以於不須自動化裝載/卸載之狀態下操作。在真空環境中,本發明系統可處理靜止或通過中的基板。在本發明一些實施例中,利用致動閥,可於每個處理腔間進行真空隔離。本發明數種實施例提供了基板的靜電吸盤,以有效冷卻基板,並避免基板意外的移動。在本發明其他實施例中,使用了機械式的吸盤,例如有解除機構的彈簧夾,以裝載/卸載基板。本發明數種實施例提供以例如高頻或直流偏壓電源,對基板施加偏壓,,或使基板浮接的應用。
在本發明數種實施例中,乃是利用使晶圓並排的載具載送基板,以簡化基板搬送。對以n*m的二維陣列排列的基板進行製程處理時,係同時加工數列的並排載具。其他實施例則提供基板載送機構,可加工朝垂直方向的基板,但裝載及卸載時,基板則是朝向水平方向。
本發明的實施例也可實施需要遮罩的製程,可透過以雙遮罩裝置來處理基板。該二元的遮罩系統建置成可用來遮蔽基板,且包含有一個內部遮罩,是以平面金屬片製成,其上具開口,可使處理晶圓的部分暴露;以及一個外部遮罩,建置成可放置於內部遮罩上,並做為其遮罩。該外部遮罩有一相似於基板尺寸及形狀的開口。外部遮罩的厚度大於內部遮罩的厚度。設置有一遮罩框,以支撐該內部及該外部遮罩,並可使外部遮罩夾置在遮罩框及內部遮罩之間。在本發明一個實例中,該雙遮罩裝置係用以提供基板的邊緣隔離。在此應用時,該內部遮罩的開口尺寸略小於太 陽能電池晶圓,因此當內部遮罩放置在晶圓上時,可遮蓋晶圓的周圍,而外部遮罩的開口則略大於內部遮罩的開口。可使用上方框架載具來保持該內部遮罩及該外部遮罩,並將內部遮罩及外部遮罩固定至晶圓承載盤。
本發明提供一種可同時處理4排基板的裝載及卸載機構。該裝載/卸載機構設置成可垂直移動,而到達一下降位置及一抬升位置。在位於下降位置時,該機構是設置成可同時:將一列已加工之基板由一載具移除,將一列新基板放置到空的載具上,將一列已加工的基板放置在基板移除機構,並由基板傳遞機構收取一列新基板。基板移除機構及基板傳遞機構可為以相同或相反方向移動的輸送帶。在位於該抬升位置時,該機構建置成可旋轉180度。
100‧‧‧系統
105‧‧‧裝載站/卸載站
107‧‧‧升降裝置
110‧‧‧載具回送站
112‧‧‧閥門
113‧‧‧閥門
114‧‧‧閥門
115‧‧‧緩衝站
116‧‧‧閥門
120‧‧‧低真空晶圓裝載艙(LVLL)
125‧‧‧高真空晶圓裝載艙(HVLL)
130‧‧‧處理腔
135‧‧‧載具回送模組
140‧‧‧傳輸帶
145‧‧‧緩衝站
200‧‧‧載具
220‧‧‧基板
205‧‧‧框架
210‧‧‧陶瓷棒
215‧‧‧傳輸軌道
220‧‧‧晶圓
223‧‧‧承載盤
224‧‧‧載具
225‧‧‧傳輸軌道
227‧‧‧夾鉗或夾具
235‧‧‧叉型配置
240‧‧‧磁性驅動棒
245‧‧‧空間
250‧‧‧載具接觸組件
251‧‧‧導電延伸部
252‧‧‧腔室接觸組件
260‧‧‧絕緣桿
262‧‧‧接觸刷
264‧‧‧絕緣彈簧
267‧‧‧磁化滾輪
268‧‧‧轉軸
269‧‧‧腔室壁
301、303‧‧‧輸送帶
305‧‧‧基板拾取機構
307‧‧‧吸盤
311‧‧‧載具
312‧‧‧凹槽
313‧‧‧載具
316‧‧‧載具
317‧‧‧載具
318‧‧‧載具
319‧‧‧載具
320‧‧‧基板
321‧‧‧遮罩升降裝置
329‧‧‧對準銷
331‧‧‧升降銷
333‧‧‧輸送皮帶
336‧‧‧滾筒
341‧‧‧推動銷
345‧‧‧吸盤
400‧‧‧真空處理腔
401‧‧‧馬達
402‧‧‧磁化滾輪
404‧‧‧惰輪
406、407‧‧‧滾輪
412‧‧‧進入口
413‧‧‧離開口
414‧‧‧閘閥
422‧‧‧開口
424‧‧‧載具
501‧‧‧基板遮罩組件
503‧‧‧遮罩載具
505‧‧‧基板載具
507‧‧‧導銷
512‧‧‧縫隙
517‧‧‧吸盤
519‧‧‧對準銷
600‧‧‧腔室
601‧‧‧單一加工源
606A至606C‧‧‧加工源
700‧‧‧載具承載
705‧‧‧承載盤
710‧‧‧支撐桿
715‧‧‧升降裝置
725‧‧‧傳輸軌
730‧‧‧基座
732‧‧‧升高框架
735‧‧‧凹槽
740‧‧‧袋
745‧‧‧外部遮罩
750‧‧‧內部遮罩
752‧‧‧晶圓升降裝置
805‧‧‧基座或承載盤
820‧‧‧晶圓
830‧‧‧框架
832‧‧‧凹槽
834‧‧‧磁鐵
836‧‧‧遮罩框
840‧‧‧袋
845‧‧‧外部遮罩
850‧‧‧內部遮罩
945‧‧‧外部遮罩
952‧‧‧邊緣
1105‧‧‧載具
1132‧‧‧凹陷部
1134‧‧‧磁鐵
1160‧‧‧對準銷
1205‧‧‧載具
1245‧‧‧外部遮罩
1262‧‧‧對準孔或對準凹槽
1260‧‧‧對準銷
1336‧‧‧上方遮罩框
1362‧‧‧長形桿
1364‧‧‧橫向桿
1366‧‧‧袋
1368‧‧‧對準孔
1534‧‧‧磁鐵
所附的圖式納入本件專利說明書中,並成為其一部份,是用來例示數種實施例,並與本案的說明內容共同用來說明及展示本發明的原理。圖式的目的只在以圖形方式例示本發明實施例的主要特徵。圖式並不是用來顯示實際上的範例的全部特徵,也不是用來表示其中各元件之相對尺寸,或其比例。
圖1顯示本發明一實施例的多基板處理系統。其中的傳輸載具支持一排並排的的基板,但是加工則是對以二維陣列排列的基板進行。
圖1A顯示本發明一實施例的系統,其中之載具在傳輸及加工製程中保持水平方向。而圖1B本發明另一實施例,其中之載具在傳輸及裝載/卸載時,是朝向水平方向,但在加工製程中則朝向垂直方向。
圖2顯示根據本發明一實施例之多晶圓載具,圖2A顯示其一部分截面圖。
圖2B顯示本發明一矽晶圓製程用載具之例,而圖2C則顯示本發明一玻璃基板製程用載具之例。
圖3A為一俯視圖。而圖3B為一側視圖。均顯示根據本發明一實施例之裝載/卸載機構。圖3C顯示本發明一實施例之基板對準機構。
圖4顯示本發明一實施例可用於本發明系統之真空處理腔400。
圖5顯示本發明一實施例之遮罩與載具組成。
圖6A至6C顯示本發明中三實施例,顯示真空腔如何安裝於不同尺寸及結構之加工源。
圖7A至7E顯示根據本發明數種實施例之多晶圓載具,該載具具有雙遮罩裝置配置。
圖8是依據本發明一個實施例之遮罩框、外部遮罩及內部遮罩部分放大截面圖,而圖8A是根據本發明另外一個實施例之遮罩框、外部遮罩及內部遮罩部分放大截面圖。
圖9顯示本發明一實施例之外部遮罩,並有內部遮罩嵌裝在其中
圖10顯示本發明一實施例用於邊緣隔離之內部遮罩。
圖11顯示本發明一實施例之一個單晶圓載具。
圖12顯示由下方所見之本發明一實施例之外部遮罩。
圖13顯示本發明一實施例之上方遮罩框,用以支持內部遮罩及外部遮罩。
圖14顯示本發明一實施例用於在晶圓形成複數孔洞之內部遮罩。
圖15顯示一與圖9之遮罩共同作用之承載器之實施例。
以下發明的詳細說明提供實施例,以提示本案所申請的新穎加工系統的數種技術特徵及各種面向。所描述的幾種實施例均提供一種系統,可用來在一真空處理腔室,例如電漿處理腔室中,同時加工多種基板,例如半導體基板或玻璃基板。雖然玻璃基板,例如用來作為觸控螢幕的玻璃基板,通常並不歸類為晶圓,但須說明的是,在本案專利說明書提及晶圓時,只是為說明及理解便利所使用的用詞,但其含義也包括玻璃基板。
圖1是本發明一個實施例之多基板處理系統之俯視圖,其中的運輸載具支撐一排並排的基板,但是加工則是對形成在二維陣列的基板上進行。在圖1中的系統100內,基板在裝載站/卸載站105進行裝載及卸載,即在系統的同一側進行裝載及卸載。然而,應當理解的是,系統也可以設計成將裝載站設在系統的一側,而卸載站設在系統的另一側。在本發明一些實施例中,基板裝載及/或卸載至/由載具上也可透過手動執行,但在 其他實施例,是以自動化方式執行裝載或卸載或兩者。
基板先裝載到裝載/卸載站105的載具上,這些載具係由載具回送站110移送過來。每一個載具支持一列並排的基板,即兩個或以上的基板排成一列,朝向與在該系統內移送的方向垂直的方向。載具由裝載/卸載站105開始行進,通過載具回送站110抵達緩衝站115。載具會停留在緩衝站115,直到低真空晶圓裝載艙(LVLL)120完成準備,載具可以進入為止。以下將會說明,在本發明一些實施例中,緩衝站也是作為傾斜站,用來將朝水平方向之載具傾向,以達到垂直方向。在這些實施例中,當基板朝向垂直方向時,是利用夾具保持基板,使基板保持於正確位置。
在適當時間時,閥門112打開,而在緩衝站115內的載具移送到低真空晶圓裝載艙120。而後閥門112就關閉,而低真空晶圓裝載艙120開始抽真空至低度真空的程度。然後閥門113打開,低真空晶圓裝載艙120內的載具被移送到高真空晶圓裝載艙(HVLL)125。一旦高真空晶圓裝載艙內抽真空至所需真空程度,閥門114打開,而高真空晶圓裝載艙125內的載具會被移送到處理腔130。該系統可以有任意數量、直線排列的處理腔130,而載具可以由一個腔室,通過設置在兩個處理腔中間的閥門進入到下一個腔室。在最後一個處理腔的末端,設置有一個閥門。該閥門開啟後可以進入一組與系統的入口順序相反的裝載配置,即先有一個高真空晶圓裝載艙,後接一個低真空晶圓裝載艙。此後,載具通過閥門116出去,進入載具回送模組135。載具從回送模組135利用例如配置在處理腔130上方或下方的輸送帶(未顯示於圖上),回送到載具回送站110。
如上所述,每一個載具支持一列並排的基板,使其較容易裝載及卸載基板,並使載具更容易生產、處理及運送。然而,為了要獲得系統的高載量,每一處理腔室130被設置為可容納,並可同時處理以二維陣列排列的基板,該基板放置於數個,例如兩個或以上,一個接著一個排列的載具上。為了獲得更好的效率,在圖1的特定實施例中,緩衝站115、低真空晶圓裝載艙120及高真空晶圓裝載艙125各自建置成可同時容納與要同時容納在處理腔130內的載具,數量相同的載具。例如,每一個載具可裝載一列共3個玻璃基板,但每一處理腔室是建置成可同時處理兩個載具, 即可處理排列成一二維陣列的3*2個基板。
根據本發明其他的實施例,晶圓裝載艙及緩衝腔的大小是設定成可以處理多個載具,例如兩個載具的尺寸,以提供延長的泵氣/排氣,壓力穩定化時間。同時,也可以使用一緩衝腔,以提供載具移動模式轉換的過渡空間,使載具由一個站到站的移動,轉換成在一個處理腔內的持續移動。例如,如果一個處理腔是在靜止模式下處理載具,而另一個腔室則在持續通過的模式下處理載具,則可將緩衝腔設置在這兩個腔室之間。系統內的載具形成一連續的載具流,經過處理腔或模組,而每一處理腔/模組可以使5至10個載具持續以頭尾相銜的方式移入,魚貫通過製程源,例如熱源、物理氣相沉積、蝕刻等。
如同圖1所示,系統中供作傳輸、裝載和卸載基板的部分是設置在大氣環境中。反之,所有加工製程是在真空環境下執行。在大氣中傳輸、裝載和卸載比在真空中容易許多。
圖1A顯示一個系統例,如同圖1中所示,其中載具200在傳輸及製程中維持水平朝向。載具透過設置於處理腔室上方的傳輸帶140回送起始點。如圖1A所示,每一個載具200承載4個排列成一列的基板220。同樣的,為了說明起見,將腔室120的上方部分被移除,用以顯示同時位於其中的6個載具的排列方式。因此,根據此實施例,因每一個載具承載4個基板,每一個處理腔可以同時處理24個基板。
圖1B顯示另一種實施例,其中載具在傳輸及裝載/卸載時為水平朝向,但在製程中則為垂直朝向。圖1B的裝置與圖1A的裝置十分相似,除了晶圓裝載腔及處理腔翻轉成為垂直方向,如此可以處理垂直朝向的基板。在圖1A及1B的實施例中,晶圓裝載腔及處理腔的構造可設置成相同,不過在圖1A中,兩腔室是以水平方向安裝,而在圖1B中則是從腔室側面垂直安裝。因此,緩衝站115與在系統另一末端的緩衝站145修改成包含一可90度改變載具朝向的升降裝置,如圖中緩衝站145內所示。
圖2顯示的是根據本發明一實施例使晶圓並排的載具,可用於矽晶圓、玻璃基板等製程。如同圖2所示,根據本實施例的並排型載具結構是相當簡單且成本低廉。應當要理解的是,只要在載具上方裝設不同 的吸盤,就可將載具根據不同的基板數量與尺寸,作變更設置。同時,應當要理解的是,每一處理腔可設置為同時容納數個載具,因此可同時處理位在多個載具上的多數晶圓。
圖2的載具200是一個由兩條傳輸軌道215及兩支陶瓷棒210所組成的簡單框架205。陶瓷棒210改善了連結至其上的承載盤(並未顯示)與腔室其他部分的熱隔離。如放大圖所示,每一支陶瓷棒210至少有一側與傳輸軌道215形成叉型配置235。叉型配置235內形成一個空間245,使得陶瓷棒210在熱膨脹時得以自由移動(如雙箭頭所示),而不會對傳輸軌道215施加壓力。
每一傳輸軌道225上都提供一磁性驅動棒240,以將載具傳輸經過整個系統。磁性驅動棒駕騎在於磁化滾輪上,以傳輸載具。為了提高系統的潔淨程度,驅動棒240可以鍍鎳。這種磁性配置可以在傳輸過程中避免載具因高加速度而滑動,以達成準確傳輸。此種磁性配置也可提供大輪距,使載具可以藉由磁力貼附到滾輪上,並提供較長的懸臂以越過較長的跨距。此外,該磁性配置使得載具不論朝向垂直或水平都可以傳輸載具,因為載具係透過磁力貼附到滾輪。
載具接觸組件250附著到傳輸軌道225,並與一個腔室接觸組件252。該腔室接觸組件252附著到腔室(見放大圖)。腔室接觸組件具有一支絕緣桿260,其內嵌接一接觸刷262。接觸組件250具備一條導電延伸部251(圖2A),插入於絕緣彈簧264及絕緣桿260之間,用來抵靠接觸刷264,以由所配對的接點獲得偏壓。該偏壓可用於,例如,基板偏壓、基板吸附(提供予靜電吸盤)等等。該偏壓可以是高頻或直流(續式或脈衝式)偏壓。該載具接觸組件250可設置在載具的單側或兩側均可。
圖2A為一部分截面圖,顯示載具如何傳輸以及如何接收偏壓電流。特別是,圖2A顯示該驅動棒240駕騎在3個磁化滾輪267上,磁化滾輪267連接到轉軸268。轉軸268延伸超出腔室壁269,如此可從腔室內的真空環境之外,轉動該轉軸268。轉軸268可利用伸縮皮帶,例如O型環狀皮帶與馬達耦合,以配合不同的轉軸直徑變化。
圖2B顯示一種用在矽晶圓製程的載具,例如應用在太陽能 電池製造的載具實例。在圖2B中,晶圓220可以利用如吸附電位吸附至承載盤223。可以利用一升降裝置215於裝載和卸載晶圓時升高及降低晶圓。圖2C顯示出一個實施例,其中載具可用於玻璃基板,例如觸控螢幕的製程。在此實施例中,基板是使用機械彈簧加載的夾鉗或夾具227以保持固定。載具224可以是簡單的托盤,並設置彈簧加載夾具配合的設置。
圖3A及3B顯示本發明之一實施例,用以搭配載具回送之裝載及卸載基板機構。圖3A為一裝載/卸載機構的俯視圖,而圖3B為一側視圖。正如圖1A所示,在製程結束後,輸送帶將載具回送。載具利用升降裝置107下降,並水平運輸至裝載/卸載站105。正如圖3A及3B所示,一對輸送帶,即輸送帶301及303,用於將尚未加工的基板送入製程,並將已加工的晶圓移除。無論由哪一條輸送帶將尚未加工的晶圓送入,或哪一條輸送帶將已加工的晶圓移除都屬可行,因為系統的工作方式完全相同。同時,由本實施例可以看出,輸送帶301及303以相反方向運送基板,但當兩輸送帶以相同方向運送時,還是可以達到相同的結果。
圖3A及3B的裝置可以支援兩個載具同時進行處理。特別是於本實施例中,可同時將已加工之基板由一載具卸載,並將未加工之基板裝載到另一個載具。此外,於同一時間,可將已加工基板之放置到已加工基板之輸送帶上,並由未加工基板之輸送帶上拾取未加工之基板,以將該未加工之基板傳遞至下一輪的載具上。操作如下所示。
基板拾取機構建置有兩種移動方式:旋轉移動及垂直移動。基板拾取機構305連接有4列吸盤307。吸盤307可以是,例如真空吸盤、靜電吸盤等。在此具體的例子中,使用了4列白努利(Bernoulli)吸盤,即吸盤可以利用白努利原理吸附固定基板。4列吸盤排成每邊2列,如此於2列吸盤與載具對齊時,另外2列吸盤則會與輸送帶對齊。因此,當基板拾取機構305在下降位置時,一列吸盤可由一個載具拾取已加工之基板,而另一列吸盤可以把未加工之基板放置在另一個載具上。同時,另一側的一列吸盤可以將已加工之基板放置到輸送帶上,而另一列吸盤可以由另一側輸送帶拾取未加工之基板。基板拾取機構305之後會移動至抬升位置,並旋轉180度。在此,於相同時間內載具會移動一段間距,即承載有未加工之 基板的載具會移動一步,而已移除已加工基板的載具會移動到裝載未加工之基板的位置。而另一個承載有已加工之基板的載具會移動至卸載位置。而後基板拾取機構305會回到下降位置,並重複前述步驟。
為了提供具體的例子,在圖3A中,是使載具311承載有由一列位在基板拾取裝置305上之吸盤所拾取的已加工之基板。載具313則裝載了從位在基板拾取裝置305上的另一列吸盤所拾取的未加工之基板。在基板拾取裝置305另一側,一列吸盤將已加工之基板放置到輸送帶303上,而另一列吸盤由輸送帶301拾取未加工之基板。當完成這些步驟之後,基板拾取裝置305會上升到抬升位置,並旋轉180度,如同彎曲的箭頭所示。同一時間,所有的載具會移動一步,即載具316會移動到載具317先前所在的位置,而載具313已經裝載未加工的基板,則會移動至載具316先前所在的位置。這時已清空的載具311會移動至先前載具313所在的位置。而裝載了已加工之基板的載具318則移動至先前載具311所在的位置。接著拾取裝置下降,這時載具311裝載了未加工之基板,載具318上之已加工之基板則被移除,由載具311移除的基板被放置到輸送帶303上,而未加工之基板由輸送帶301上拾取。而後拾取裝置305上升,並重複上述步驟。
圖3A及3B所示之本發明實施例亦可利用一選用的遮罩升降裝置321。在此實施例中,遮罩係用以於基板表面產生所需要之圖案,即使基板暴露出某些區域,以對該區域進行加工,而覆蓋以外的區域,以免該區域遭受改變。載具承載上方覆蓋遮罩的基板,在整個系統內移動,直至其到達遮罩升降裝置321。當承載已加工之基板的載具(在圖3A及3B中是載具318)到達遮罩升降裝置時,遮罩升降裝置321會上升到較高的位置,以將遮罩由載具上拿起。而後載具可以移動到卸載站以卸載已加工之基板。於同一時間,承載有未加工之基板的載具(在圖3B中是載具319),會移動至遮罩升降裝置,此時遮罩升降裝置321會移動到其較低之位置,以使遮罩覆蓋至未加工之基板,以進行處理。
可以理解的是,在圖3A及3B的實施例中,遮罩升降裝置將遮罩由一載具移開,並將遮罩放置到另一個載具上。也就是說,遮罩並 不會回到該遮罩所離開的載具上,而會放置到另外一個載具上。根據系統內載具的設計及數量而定,在數個步驟之後,遮罩可能會回到相同的載具上,但只會是在遮罩由其他載具上拿起後。但也可不使用這種設計,即根據使用中載具及遮罩的設計及數量而定,也可將一個遮罩使用於系統中所有的載具。也就是說,系統中的每一個載具會用到系統中的每一個遮罩,其中在整個系統的每一個製程循環中,載具都使用不同的遮罩。
如圖中的放大圖所示,載具升降裝置可配置有兩條垂直輸送帶裝置,載具的一側各有一條輸送帶裝置。每一條輸送帶裝置是由一條或更多利用滾筒336驅動的輸送皮帶333所組成。升降銷331連結到輸送皮帶333,因此當輸送皮帶333移動時,升降銷331會帶動載具,使載具以垂直方向移動(即向上方或下方移動,根據升降裝置配置位置在系統的哪一側,以及載具回送輸送帶所配置的位置是在處理腔的上方或下方而定)。
圖3C顯示本發明一實施例之基板對準機構。根據此實施例,吸盤345的一側具備彈簧加載對準銷329,而另一側有一凹槽312。旋轉推動銷341可以插入凹槽312,以將基板320推向對準銷329,然後縮回,如同虛線及旋轉箭頭所示。要注意的是,旋轉推動銷341並非吸盤345或載具的一部分,且並未隨著系統移動,而是固定位置。同時,若使用遮罩時,彈簧加載對準銷會被壓縮到較低的位置。因此,本實施例所提供的基板對準機構包含一個吸盤,該吸盤之第一側配置有一對準銷。第二側與第一側垂直,並配置有兩個對準銷。第三側在第一側的對側,並配置有第一凹槽。第四側正對第二側,並配置有第二凹槽。對準機構更包含了第一個推動銷之配置,用來插入第一凹槽,而將基板推向第一對準銷;以及第二推動銷之配置,用來插入第二凹槽,而將基板推向該2支對準銷。
圖4顯示本發明一實施例可用於本發明所揭露系統之真空處理腔400。在圖4中已經移除腔室的上蓋,以將該內部結構顯示出來。腔室400可以安裝成水平或垂直方向,而不需對腔室組成或結構做任何修改。腔室係以一個簡單箱框所組成,其上有開口422,用來裝置真空泵。在腔室一側壁有一切開的進入口412,而相對的側壁有一切開的離開口413,使載具424可以進入到腔室中,移動經過整個腔室,並由另一側離開腔室。雖 然為了清楚起見,在圖4中僅顯示了閘閥414,但每個開口412及413均可建置有閘閥。
為了使載具424可於水平及垂直朝向時有效且準確的移送,腔室的兩相對側壁裝置有磁化滾輪402。載具具備磁性驅動棒,磁性驅動棒可在磁化滾輪上移動。磁化滾輪402安裝在轉軸上,轉軸延伸到腔室外的大氣環境中,且是透過馬達401來驅動。在此實施例中,具備有數個馬達401,而每一個馬達透過皮帶,例如O型環狀皮帶,來驅動數個轉軸。同時配置惰輪404以限制載具的橫向移動。
圖4所示之本發明實施例,特徵之一在於磁化滾輪的直徑小於腔室側壁的厚度。因為此特徵使磁化滾輪可裝設在進入口和離開口412及413上,如圖中滾輪406及407所示。連進入口和離出口412及413內都裝設磁化滾輪406及407,可使載具進出腔室的運送更為順暢。這是因為這種設計縮小了載具所要經過的滾輪間距。
圖5顯示本發明一實施例之遮罩和與載具組件。製程沿著彎曲箭頭由左到右,單一基板遮罩組件501裝設到遮罩載具503上,遮罩載具503承載數個遮罩組件;其後遮罩載具503被載裝至一個基板載具505上。在本發明之一實施例中,浮動的遮罩組件501間設置彈簧,以保持該遮罩組件之定位,供裝設於基板載具505上的導銷507嵌入,因此每一個遮罩可以對準該遮罩所對應之基板。每個單一基板遮罩組件係由一便宜,並能多次使用的內部箔遮罩(inner foil mask)所組成。箔遮罩是以平板磁性物質所製作,根據所需於該平板打孔。而外部遮罩覆蓋並保護內部遮罩,消除熱負載,使箔遮罩不致遇熱變形。外部遮罩開設開口,以暴露內部遮罩具有打孔的區域。一框架將內部遮罩及外部遮罩保持在遮罩載具503上。嵌在基板載具505內的磁鐵會吸引內部箔遮罩與基板接觸。
每個基板支撐517,例如磁性或靜電吸盤,是支撐單一基板。每個個別吸盤517都可以改變設計,以支援不同種類及/或尺寸的基板,使得相同的系統可用於對不同尺寸及種類的基板進行加工。於本發明之此實施例,吸盤517具備可伸縮的基板對準銷519,並用於使位在吸盤上方的基板能對齊。於此實施例中,此用於幫助對齊的設備包括一縫隙512,用以 與一可伸縮銷配合。該伸縮銷用來推動基板,使基板緊靠在對齊銷519,而後縮回,離開縫隙512。此種設計可使基板及遮罩對準基板載具,如此使得遮罩對準基板。
從以上說明可知,至目前為止所描述的系統製造成本低廉,並提供多種基板,例如太陽能電池、觸控螢幕等等的高效真空處理。本系統可以建置成從兩端或一端裝載和卸載,即基板由同一側裝載及卸載,或者是由一側裝載,而由相對的另一側卸載。基板的裝卸載都不是在真空中操作。該系統為模組化系統,在入口裝載艙與出口裝載艙之間可以裝設需要數量的真空處理腔。真空處理腔的設計簡單,僅有少部分在真空環境中。真空處理腔可以裝設成水平或垂直朝向。例如,在太陽能電池製程中,系統係以水平朝向對基板加工,而在觸控螢幕製程中,系統係以垂直朝向加工。但不論加工時朝向如何,基板在大氣環境下的裝載、卸載,以及傳輸,都是以水平朝向完成。而處理源,例如濺射源,可以裝設在基板的上及/或下方。該系統能夠支援移動式或靜止式製程,即在真空製程時,基板可以是靜止中或移動中。腔室中可以容納濺射源、加熱器、植入射束源,及離子蝕刻源等。
在太陽能電池製造的應用上,真空腔可以包含一個低能量的植入裝置系統(例如低於1.5萬伏特)。在特定太陽能電池設計上,例如鈍化射極及背面太陽能電池(PERC)、交指背接觸電極太陽能電池(IBC),或選擇性射極太陽能電池(SE),遮罩裝置可以用於進行遮罩式植入。同時,以離子蝕刻源或雷射輔助蝕刻之紋理蝕刻,則可使用或不用遮罩進行。對點接觸的太陽能電池而言,具有許多孔的遮罩可用於對齊接點。同時,厚金屬層的形成可由串聯數個對準位置的物理氣相沉積腔,並透過薄膜的層層堆疊而形成。
在觸控面板製造的應用上,腔室可以利用物理氣相沉積源來堆疊冷及/或熱透明導電薄膜。製程中可以數個,例如3個觸控面板以寬度方向排列在每一個載具上,以及數個,例如2個載具同時位在一個腔室內部,以簡化裝卸載及移送,並獲得較高的載量。同樣的系統可以用在加工平板電腦或手機大小的觸控螢幕玻璃,而不需要任何內部的重新配置。簡 單來說,只要配置的適當載具,即可以整個系統的原貌來加工。同樣的,基板的裝卸載及移送都不在真空中進行。
所有類型及尺寸的基板都可以使用本發明的操作及加工方法。一個空的載具可以由載具回送升降裝置移動至裝載區。如果有使用遮罩,則遮罩會先予移除,而留置在升降裝置上。基板是在大氣壓力的環境下裝載至載具上。載具移回至升降裝置上,而將遮罩放置在基板上方。隨後載具移動至晶圓裝載艙。在真空中,載具的運送是透過簡單配置於腔室壁的磁化滾輪驅動,該磁化滾輪則是由位於大氣環境下或真空環境中的腔室外側驅動。腔室可以具備用作隔離的閥門,並可以有加工源位在上方,或位在抽斗內,以從下方加工基板。基板可以在系統後端的卸載站移除,或者是留在載具上以回送到前端的裝載站,即進入系統的一側。透過簡單的輸送皮帶,將載具由系統製程的末端回送至系統裝載的最前端。簡單的有銷輸送帶抬升或下降載具,以到達或離開裝載站或卸載站。
圖6A至6C顯示本發明中三實施例,顯示真空腔如何配合不同尺寸及結構之加工源。在圖6A至6C的例子中,假設基板的排列為3倍寬,但當然可以更多或更少數量的基板,以寬度方向排列在載具中。同時,在圖6A至6C中,也假設處理腔可容納數個載具,例如2個或3個,而為同時處理。在圖6A至6C中顯示的加工源,可以是任何加工源,例如物理氣相沉積、蝕刻、植入等等的加工源。
圖6A顯示本發明之一個實施例,其中單一加工源601用於腔室600。單一加工源用於對所有放置於腔室600內的基板進行加工。加工源601的長度及/或寬度可同時覆蓋所有的基板。對某些加工源而言,製造如此大尺寸的單一加工源會太複雜或太昂貴。例如,若加工源601是一個濺射源,靶材必須製作的非常大,因而很昂貴、複雜,導致實用性低。因此,根據圖6B及6C所顯示的本發明實施例,是利用一些小型加工源。在圖6B的實施例中,每一加工源603A至603C的寬度僅足以覆蓋單一基板,但該加工源可以覆蓋的長度,以基板傳送方向的長度,多於單一基板。利用錯開每個加工源的設計,使得每一個加工源僅覆蓋各載具中的單一基板,可以對所有的基板完成加工。這種特定的配置特別適用於移動式的加 工。相反的,在圖6C的實施例中,每一加工源606A至606C的長度,即與基板傳送方向垂直的寬度足以覆蓋一個載具上的所有基板,但因該加工源寬度則短到不足以覆蓋所有放置於腔室內的基板。事實上,在一些實施例中,每一加工源606A至606C的寬度短於一個基板的長度。這樣的裝置可同樣適用於移動式或固定式的製程。
以上所敘述的的實施例,提供了一個真空處理腔,該真空處理腔具有可同時容納及加工數個基板載具的真空空間。此空間也設計成可以同時支持數個處理加工源。加工源可以是,例如濺射源。該加工源可以是一狹窄加工源,而具備足夠覆蓋所有基板載具承載基板之長度,但寬度卻短於載具上放置之基板寬度。可將數個此種加工源一個接著一個排列在腔室長度,同於載具運送方向的長度的全部或部分。腔室有數個轉軸建置於兩相反側,用以於腔室內傳輸載具。每個轉軸可透過利用馬達帶動的可伸縮皮帶驅動。每個轉軸都配置數個磁極交替的磁化滾輪,即當一個滾輪外圈是磁南極且內圈是磁北極,而相鄰的滾輪則會是外圈磁北極且內圈磁南極。腔室在進入端側壁亦具有一個進入口,且在進入端側壁對側的離開端側壁上有一離開口;其中一個磁化滾輪裝置建置在進入端側壁的壁內,並突出至進入口,且具有一磁化滾輪裝置建置在離開端側壁壁內,並突出至離開口,如此可驅動基板載具通過進入口及離開口。
本案揭露的系統為一線性的系統,其中腔室排列成一列,一腔室耦接到下一個,如此基板載具可以由一側進入系統,以一直線方式穿越所有腔室,並由相反側離開系統。載具由一腔室透過將腔室分開的閥門直接移動到下一個腔室。一旦載具離開系統的真空環境時,該載具進入至升降裝置,並垂直移動至一回送輸送帶,此輸送帶係以水平方向運輸載具回到進入系統的一側,該載具會進入到另一個升降裝置,並以垂直方向移動,以裝載未加工的基板,並再一次進入系統的真空環境中。當載具運送到大氣環境下時,該載具係以水平朝向運送。然而,在本發明的一個實施例中,當載具進入到真空環境時,該載具轉向成為一垂直朝向,使基板以垂直朝向進行加工。
本系統可以具備裝設在系統入口一側的裝載及卸載站。裝載 及卸載系統有一建置4列吸盤之旋轉結構,在旋轉軸線的兩側各有2列吸盤。在旋轉軸線的每一側所建置的一列吸盤,是用以卸載已加工基板,而所建置之另一列吸盤,則用以裝載未加工基板。旋轉結構被設定為垂直移動,其中,當該旋轉結構於下降位置時,此結構可拾起基板;而當該旋轉結構到達抬升位置時,會旋轉180度。同時,當該結構在下降位置時,在旋轉軸線每一側所設的一列吸盤會拾起基板,而另一列吸盤會放下,即釋出基板。在本發明之一實施例中,建置兩輸送帶用以穿越入口,進入系統,其中,一輸送帶輸送未加工基板,而另一輸送帶移除已加工基板。該旋轉結構建置成:於下降位置時,一列吸盤對準輸送未加工基板的輸送帶,而另一列吸盤對準將移除已加工基板的輸送帶。同時,在旋轉軸的另一側,一列吸盤對準空的載具,而另一列吸盤對準已承載已加工基板的載具。
在本發明一些實施例中另備有用來提供電位給基板的設備。具體來說,每一個載具包含一導電條的載具,當這些載具進入處理腔時,導電條插入到一個滑動接點,該滑動接點包含有一長形的接觸刷、以及一適形絕緣彈簧,用來將該導電條推向該長形的接觸刷。可使用一絕緣條,例如Kapton膠帶(聚酰亞胺膠帶),以將導電條連接至載具。
於需要使用遮罩的基板製程中,遮罩可以單獨放置在每一個基板的上方,也可製造一個可以同時覆蓋在一個載具上所有基板的遮罩。遮罩可以利用例如磁鐵,來保持固定位置。然而,為了製程的精準,遮罩必須做的非常薄,而可能因此在加工過程中,受到熱應力而變形。此外,薄遮罩的沉澱會快速積聚,而沉澱會影響到定位的精準以及遮罩的遮蓋效果。因此,使用依據以下所揭露實施例之雙遮罩裝置,將可解決上述技術難題。
圖7A至7E顯示根據本發明數種實施例之多晶圓載具,該載具具有雙遮罩裝置。圖7A顯示出一個具有雙遮罩裝置的多晶圓載具,其中遮罩裝置位在下降位置,在此位置上,內部遮罩與晶圓能有緊密的物理接觸;圖7B顯示出一具有雙遮罩裝置的多晶圓載具,其中遮罩裝置位在抬升位置,在此位置可更換晶圓;圖7C顯示出一個具有雙遮罩裝置的多晶圓載具,其中該載具包含用以裝載/卸載晶圓的晶圓升降裝置;圖7D顯示出 具有雙遮罩裝置的多晶圓載具之部分橫切面,其中遮罩裝置及晶圓升降裝置位在抬升位置;而圖7E顯示出具有雙遮罩裝置的多晶圓載具之部分橫切面,其中遮罩裝置以及晶圓升降裝置是位在下降位置。
參照圖7A,該多晶圓載具也可以稱作載具承載700,具備3個分離的單晶圓載具或承載盤705,支持於例如以陶瓷製成的承載盤框或支撐桿710上。每一單晶圓載具705配置為可保持單一晶圓,以及一雙遮罩裝置。在圖7A中,雙遮罩裝置是位在下降位置,但為了要顯露載具的結構,因而沒有顯示放置在載具上的晶圓。在圖7B中,雙遮罩裝置位在抬升位置,同樣的在任何載具上都沒有顯示晶圓。在圖7A至7E的實施例中是使用一個升降裝置715,以升高和降低雙遮罩裝置;然而,為了降低費用以及複雜度,可以不使用升降裝置715,而利用手動方式抬升雙遮罩裝置。傳輸軌725建置於框架710的每一側,用以運送載具700通過整個系統。
每一個單晶圓載具705均有一個基座730(可見於圖7B),該基座具備一帶有凹槽735的升高框架732,透過支撐晶圓周圍使晶圓懸浮。於懸浮晶圓下方,帶有升高框架732的基座730形成袋740,此有助於收集破碎的晶圓破片。在一些實施例中,框架732與基座730是可分離設計。外部遮罩745建置成可裝置到框架732上,如此可覆蓋框架732,並覆蓋內部遮罩的周圍,但使內部遮罩中心與晶圓相關的部分暴露出來。圖8所所示即為本實施例的橫切面圖例示。
在圖8中,基座或承載盤805具備帶有凹槽832的升高框架830,該框架支撐晶圓820的周圍。基座805與框架830形成袋840,而晶圓因此懸浮於袋的上方。一組磁鐵834建置在升高框架830內部,以圍繞在晶圓820的周圍。在本發明之數實施例中,特別是在高溫環境的操作下,磁鐵834可以是由釤鈷(SmCo)所製成。內部遮罩850位於升高框架830以及晶圓820的上方,並由磁鐵834所保持,使得該內部遮罩能與晶圓有物理性的接觸。外部遮罩845位於內部遮罩850之上,並與內部遮罩850形成物理性接觸。該外部遮罩覆蓋了內部遮罩850的周圍,但露出內部遮罩850設計用以加工晶圓的部分。圖9顯示了一個外部遮罩945的實例。在此實例中,外部遮罩是一鋁片摺疊而成,因為本實例係供邊緣分流隔離加工 之用,故外部遮罩覆蓋了內部遮罩的全部,只露出一小部分周圍邊緣952。圖10顯示了一個用於邊緣分流隔離加工的內部遮罩750。基本上該內部遮罩為一片平坦的金屬,備有與晶圓同樣尺寸及形狀的孔洞,但尺寸稍小,例如較晶圓尺寸小1至2公釐。在圖8的實施例中,遮罩框836是用以支持內部遮罩與外部遮罩,並抬升兩者離開載具。在這樣的構造中,外部遮罩是夾在遮罩框836及內部遮罩850之間。
圖8A顯示本發明之另一個實施例,該實施例可用於例如在晶圓的背側形成接點圖形。在本實施例中,承載盤形成一上方平台,以在全表面支撐該晶圓。磁鐵834嵌入於承載盤上表面之下,分布於全部面積。內部遮罩850覆蓋整個晶圓820的表面,但具有與所設計的接點相應的複數個孔洞。
現回到圖7A至7E,升降裝置715可以用於將內部遮罩及外部遮罩同時升高。並且,晶圓升降裝置752可以用於升高晶圓以脫離框架730,如此在製程中可利用機械手臂以未加工晶圓替換該晶圓。然而,也可以不使用升降裝置715及752,而以手動方式完成升高遮罩及替換晶圓的操作。
在上述圖8的實施例中,載具是在晶圓邊緣支撐晶圓,使晶圓懸浮。晶圓下方會形成袋,用以盛裝破碎的晶圓破片,並避免沉積材料堆積。另一方面,在圖8A的實施例中,是從整個表面來支撐晶圓。遮罩組件下降至所需位置以接受濺射或其他形式的加工,之後以手動或機械方式升高,以裝載及卸載晶圓。載具上的一組磁鐵可以幫助確保內部遮罩在正確位置,並與晶圓有緊密接觸。外部遮罩及內部遮罩可在連續使用之後替換,而載具組件的其他部分則可重複使用。框架830同時也稱作遮罩組件的側邊支撐桿,可以度熱延展較低的材料,例如鋁或鈦製作。
根據以上所描述的實施例,內部遮罩與基板間建立了無間隙的緊密接觸。外部遮罩保護了內部遮罩、載具以及框架,以避免受材料沉積。實施例說明了外部遮罩及內部遮罩開口均為偽方形,適合應用在邊緣分流隔離加工單晶體太陽能電池製程。在其他的製程中,內部遮罩具備一些開口的排列,而外部遮罩具有偽方形孔。所稱之偽方形是指一方形,其4 角形狀與切割晶圓用的矽晶錠形狀相同。當然,若是使用多晶體方形晶圓時,外部及內部遮罩的開口會同為方形。
圖11顯示本發明一實施例之一個單晶圓載具1105。晶圓周邊落在其凹陷部1132內。以虛線表示的磁鐵1134,建置在載具內部並布滿晶圓周圍。對準銷1160用以將外部遮罩對準載具1105。圖12顯示本發明一實施例之一個外部遮罩,為其底視圖。外部遮罩1245具備對準孔或對準凹槽1262,以與該載具1205的對準銷1260相對應。
圖13顯示本發明一實施例之一個上方遮罩框1336,該上方遮罩框用於保持外部遮罩及內部遮罩,並將遮罩固定至承載盤。上方遮罩框1336是以例如2長形桿1362,由2橫向桿1364固定在一起所組成。外部遮罩保持於袋1366內。上方遮罩框係利用對準孔1368定位於承載盤。
圖14顯示本發明一實施例之內部遮罩,其上具備孔洞圖形設計,用於例如在晶圓上製造複數個接點。該內部遮罩可以與如圖15所示的承載盤一起使用。圖15所示的承載盤中,有磁鐵1534分布在晶圓表面下方的整個區域。該磁鐵磁極形成相互交替排列。
上方或外部遮罩可由鋁、鋼,或其他相似的材料的薄片,如0.03吋所組成,並且建置成與一基板載具相互配合。內部遮罩是由非常薄,如0.001至0.003吋的平鋼板,或其他具磁性的材料所製成,且該內部遮罩係建置成嵌入該外部遮罩內。
根據本發明之其他實施例,本發明提供製程中用以支撐晶圓的裝置,該裝置包含:一具備升高框架的晶圓載具或承載盤,該升高框架含有一凹槽,而以從晶圓邊緣支撐晶圓,並將晶圓固定於預定位置;內部遮罩,建置成可放置於該升高框架的上方,該內部遮罩具有一開口設置,建置成可遮蓋部分晶圓,及暴露出晶圓的其餘部分;外部遮罩,建置成可放置於位在該升高框架上的內部遮罩上方,該外部遮罩含有一建置成可覆蓋內部遮罩一部分的單一開口。可使用一上方框架載具來保持該內部遮罩及該外部遮罩,並將內部遮罩及外部遮罩固定到晶圓承載盤上。
磁鐵位在晶圓承載盤內部,磁極係以N-S-N-S-N的方式交替排列。磁鐵完全圍繞著遮罩框,或者滿滿分布於承載盤下的整個表面, 且在晶圓的正下方。外部遮罩及內部遮罩都設計成僅利用磁鐵的吸力固定至遮罩框上,如此可以簡單且快速裝載及卸載基板。
遮罩組件可由晶圓載具及遮罩框移除,以便裝載基板至載具內。外部遮罩及內部遮罩兩者都做為遮罩組件的一部分同時抬升。一旦晶圓已經放置到載具的晶圓袋內,遮罩組件會下降回到載具上。此時內部遮罩覆蓋晶圓表面上方。在載具框架內的磁鐵將內部遮罩拉低,使該內部遮罩與基板緊密接觸。此於晶圓邊緣形成一緊密的因應貼合。外部遮罩是設計成可避免沉積材料沉積到薄而貼合的內部遮罩上。如同上述,在沉積的製程可能會使得內部遮罩受熱,造成遮罩彎曲而失去與晶圓的接觸。若遮罩失去與晶圓的接觸,金屬薄膜會沉積到基板晶圓表面的排除區。在本發明中,該袋與磁鐵產生的摩擦力將可使基板與遮罩於傳送及沉積過程中不會產生相互位移,且外部遮罩另可避免薄膜沉積至內部遮罩上,並避免內部遮罩受熱翹曲。
遮罩組件可以透過真空載具交換機構,與載具一同定期的從系統上移除。載具交換機構為一可攜式真空包裝,具有載具傳輸機構。該可攜式真空包裝可使載具於加工過程中交換,而不需將系統在連續操作中停機。
以上是對本發明例示性實施例之說明,其中顯示特定之材料與步驟。但須了解,對習於斯藝之人士而言,由上述特定實例可產生或使用不同變化,而此種結構及方法均可在理解本說明書所描述及說明之操作後,以及對操作之討論後,產生修改,但仍不會脫離本發明申請專利範圍所界定之範圍。
100‧‧‧系統
105‧‧‧裝載站/卸載站
110‧‧‧載具回送站
112‧‧‧閥門
113‧‧‧閥門
114‧‧‧閥門
115‧‧‧緩衝站
116‧‧‧閥門
120‧‧‧低真空晶圓裝載艙(LVLL)
125‧‧‧高真空晶圓裝載艙(HVLL)
130‧‧‧處理腔
135‧‧‧載具回送模組

Claims (20)

  1. 一用以在真空腔中加工基板的系統,包含:複數個載具,每一載具建置成可支持及運送基板行經整個系統;一裝載站,用以裝載基板至該載具上;一載具運輸系統,用以運送載具行經整個系統,並回送載具至裝載站;一晶圓承載腔裝置,用以將載具引入真空環境;以及至少一個真空處理腔,可接收由晶圓承載艙裝置而來的複數載具,該真空處理腔的尺寸及建置可同時容納複數個載具,且可同時加工放置在複數載具上的基板;其中每一個載具建置成可以支持一線性陣列為1*n之基板,其中n為大於1的整數,以使該真空處理腔可同時容納並加工一陣列m*n個基板,其中m是該真空處理腔可容納的載具數量,且其中m為大於1的整數。
  2. 如申請專利範圍第1項所載之系統,其中該載具運輸系統包含一用以於完成加工後回送載具至該裝載站的輸送帶。
  3. 如申請專利範圍第2項所載之系統,其中該輸送帶在大氣環境下,於處理腔上方通過。
  4. 如申請專利範圍第2項所載之系統,其中該載具運輸系統更包含複數磁性滾輪裝置,且每一載具包含可於該磁性滾輪上運行之磁性棒。
  5. 如申請專利範圍第4項所載之系統,其中該複數磁性滾輪裝置包含複數轉軸,每一轉軸具有連接於轉軸上並以磁極交替排列之複數磁性滾輪。
  6. 如申請專利範圍第5項所載之系統,其中各該轉軸是以一伸縮皮帶轉動。
  7. 如申請專利範圍第6項所載之系統,其中該可伸縮皮帶包含一O型環。
  8. 如申請專利範圍第4項所載之系統,其中該真空處理腔包含一進入口及一離開口,且其中有些磁性滾輪是裝置在該進入口及該離開口內。
  9. 如申請專利範圍第1項所載之系統,其中該載具運輸系統包含一第一載具升降裝置,耦合至該裝載站,及一第二載具升降裝置,耦合至該系統位於該裝載站相反端。
  10. 如申請專利範圍第1項所載之系統,更包含一具備複數列吸盤的基板拾取裝置,每一吸盤建置成用以支持一基板,該基板拾取裝置建置成可垂直上升及下降,並可沿一軸旋轉,該基板拾取裝置更建置成可同時從一載具移除已加工的基板,並裝載未加工的基板至另一載具上。
  11. 如申請專利範圍第10項所載之系統,其中該基板拾取裝置建置成可同時放置已加工的基板至一輸送帶上,並由另一輸送帶拾起未加工的基板,且在同一時間,該拾取裝置同時由一載具移除已加工的基板,並裝載未加工的基板至另一載具上。
  12. 如申請專利範圍第11項所載之系統,其中該複數個吸盤包含複數個利用白努利吸力以支持基板的白努利吸盤。
  13. 如申請專利範圍第11項所載之系統,其中該拾起裝置在該軸的一側具有兩列吸盤,而在該軸的另一側具有兩列吸盤。
  14. 如申請專利範圍第10項所載之系統,其中該運輸系統建置成在該拾取裝置每次旋轉180度時,將載具移動一個步驟。
  15. 如申請專利範圍第10項所載之系統,更包含一個遮罩升降裝置,包括一遮罩升降器,建置成可從已加工的基板移除遮罩,並將遮罩放置於不同載具所承載之未加工基板上。
  16. 如申請專利範圍第1項所載之系統,更包含複數個遮罩,以及一遮罩升降器,以將遮罩由載具升起,俾便裝載及卸載基板。
  17. 如申請專利範圍第1項所載之系統,更包含複數個遮罩組件,每一遮罩組件包含一內部遮罩、一外部遮罩,及一將該內部遮罩及該外部遮罩耦接到一載具上的遮罩框。
  18. 如申請專利範圍第1項所載之系統,更包含一基板對準機構,該基板對準機構包含:一吸盤,具有一第一側,備有對準銷;一第二側,與該第一側面垂直並建置有2對準銷;一第三側,位在該第一側相對側,並建置有第一凹槽;以及一第四側,位在該第二側相對側,並建置有第二凹槽;以及,一第一推動銷,用以進入該第一凹槽,以將基板推向該第一對準銷:以及一第二推動銷,用以進入該第二凹槽,以將基板推向該第二對準銷。
  19. 一用以在真空腔中加工基板的系統,包含:複數個載具,每一載具建置成可支持及運送基板行經整個系統;一裝載站,用以裝載基板至該載具上;一載具運輸系統,用以運送載具行經整個系統,並回送載具至裝載站;一晶圓承載腔裝置,用以將載具引入真空環境;以及,至少一個真空處理腔,可接收由晶圓承載艙裝置而來的複數載具,該真空處理腔的尺寸及建置可同時容納複數個載具,且可同時加工放置在複數載具上的基板;以及一建置於該裝載站及該晶圓承載艙裝置之間的緩衝站,該緩衝站建置成可同時容納至少與該處理腔可同時容納的載具數量相同的載具; 其中該緩衝站包含將該載具由水平朝向旋轉為垂直朝向的載具旋轉裝置。
  20. 如申請專利範圍第19項所載之系統,其中該載具運輸系統在大氣壓力環境下以水平朝向運送載具,而在真空環境中以垂直朝向運送載具。
TW102114999A 2012-04-26 2013-04-26 真空處理系統架構 TWI518832B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201261639052P 2012-04-26 2012-04-26

Publications (2)

Publication Number Publication Date
TW201401412A TW201401412A (zh) 2014-01-01
TWI518832B true TWI518832B (zh) 2016-01-21

Family

ID=49477432

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102114999A TWI518832B (zh) 2012-04-26 2013-04-26 真空處理系統架構

Country Status (10)

Country Link
US (2) US9502276B2 (zh)
EP (1) EP2852469B1 (zh)
JP (1) JP6231078B2 (zh)
KR (1) KR102072872B1 (zh)
CN (1) CN104582863B (zh)
MY (1) MY170824A (zh)
PT (1) PT2852469T (zh)
SG (1) SG11201406893XA (zh)
TW (1) TWI518832B (zh)
WO (1) WO2013163622A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9502276B2 (en) 2012-04-26 2016-11-22 Intevac, Inc. System architecture for vacuum processing
US9525099B2 (en) 2012-04-19 2016-12-20 Intevac, Inc. Dual-mask arrangement for solar cell fabrication
US9543114B2 (en) 2014-08-05 2017-01-10 Intevac, Inc. Implant masking and alignment system with rollers
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates

Families Citing this family (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10679883B2 (en) * 2012-04-19 2020-06-09 Intevac, Inc. Wafer plate and mask arrangement for substrate fabrication
US9694990B2 (en) 2012-06-14 2017-07-04 Evatec Ag Transport and handing-over arrangement for disc-shaped substrates, vacuum treatment installation and method for manufacture treated substrates
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102075527B1 (ko) * 2013-05-16 2020-02-11 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9460950B2 (en) * 2013-12-06 2016-10-04 Applied Materials, Inc. Wafer carrier for smaller wafers and wafer pieces
PT3095890T (pt) * 2014-01-14 2018-12-05 The Batteries Spolka Z Ograniczona Odpowiedzialnoscia Processo para a aplicação de revestimentos em película fina e linha de produção para a execução do processo
EP3102715A1 (en) * 2014-02-04 2016-12-14 Applied Materials, Inc. Evaporation source for organic material, apparatus having an evaporation source for organic material, system having an evaporation deposition apparatus with an evaporation source for organic materials, and method for operating an evaporation source for organic material
SG10201807691XA (en) * 2014-02-20 2018-10-30 Intevac Inc System and method for bi-facial processing of substrates
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
EP3256619B2 (en) * 2015-02-13 2022-06-22 Oerlikon Surface Solutions AG, Pfäffikon Use of a fixture comprising magnetic means for holding rotary symmetric workpieces
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10134623B2 (en) * 2015-07-13 2018-11-20 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102447219B1 (ko) * 2015-10-01 2022-09-23 인테벡, 인코포레이티드 기판 제조를 위한 웨이퍼 플레이트 및 마스크 배열
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170115657A1 (en) * 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
KR20190008377A (ko) 2016-05-24 2019-01-23 어플라이드 머티어리얼스, 인코포레이티드 내플라즈마성 코팅을 갖는 섀도우 마스크
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107546169A (zh) * 2016-06-27 2018-01-05 上海新昇半导体科技有限公司 基板的抓取装置及其抓取方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
CN109496348B (zh) * 2016-09-12 2022-01-18 应用材料公司 半导体工艺设备
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US20190116294A1 (en) * 2016-10-18 2019-04-18 Interdigital Vc Holdings, Inc. Method for detection of saturated pixels in an image
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
CN106544638B (zh) * 2016-12-09 2018-12-18 中国科学院半导体研究所 一种拼装型的掩模板装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN107093652B (zh) * 2017-06-23 2020-02-21 浙江光隆能源科技股份有限公司 一种双85抗pid多晶太阳能电池片的制作工艺
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN109920748A (zh) * 2017-12-12 2019-06-21 湘潭宏大真空技术股份有限公司 一种大面积玻璃基板装载机
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
CN108385092B (zh) * 2018-03-30 2020-03-31 威海畅享海天新材料科技有限公司 一种银及银涂层的无铬钝化液及其钝化方法
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108389821A (zh) * 2018-05-04 2018-08-10 成都华聚科技有限公司 一种晶圆与mask单独取放和精准定位机构
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102468292B1 (ko) * 2018-08-29 2022-11-16 어플라이드 머티어리얼스, 인코포레이티드 제1 캐리어 및 제2 캐리어를 이송하기 위한 장치, 기판을 수직으로 프로세싱하기 위한 프로세싱 시스템, 및 이를 위한 방법들
US11235013B2 (en) 2018-09-04 2022-02-01 Babak Ghalili Cannabinoid, menthol and caffeine dissolvable film compositions, devices and methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10901328B2 (en) * 2018-09-28 2021-01-26 Applied Materials, Inc. Method for fast loading substrates in a flat panel tool
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
US10916464B1 (en) 2019-07-26 2021-02-09 Applied Materials, Inc. Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency
US11196360B2 (en) 2019-07-26 2021-12-07 Applied Materials, Inc. System and method for electrostatically chucking a substrate to a carrier
US11756816B2 (en) 2019-07-26 2023-09-12 Applied Materials, Inc. Carrier FOUP and a method of placing a carrier
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR102262418B1 (ko) * 2020-03-05 2021-06-08 주식회사 클레버 이차전지 셀의 폴딩 공정용 이차전지 셀 이송 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN115443346A (zh) * 2020-07-01 2022-12-06 应用材料公司 用于移动基板的设备、沉积设备和处理系统
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
CN112501574B (zh) * 2020-10-27 2022-10-25 东兴华鸿光学科技有限公司 太阳镜片镀膜设备
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2021080567A (ja) * 2021-01-28 2021-05-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 耐プラズマコーティングを有するシャドウマスク
CN113206029B (zh) * 2021-05-07 2022-08-12 阳江市恒昊电子科技有限公司 一种电子元器件加工用封装设备
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102021123777A1 (de) * 2021-09-14 2023-03-16 Schott Ag Anlage und Verfahren zum mehrschrittigen Verarbeiten flächiger Substrate
CN114005775A (zh) * 2021-10-29 2022-02-01 德鸿半导体设备(浙江)有限公司 基片的处理系统及方法
CN114990512B (zh) * 2022-05-19 2024-01-26 国家电投集团氢能科技发展有限公司 用于真空镀膜的挂装载具、上下料设备和连续真空镀膜系统
WO2024064423A1 (en) * 2022-09-23 2024-03-28 Applied Materials, Inc. Susceptor transfer for process chamber
CN115652265B (zh) * 2022-12-08 2023-04-04 常州夸克涂层科技有限公司 多弧离子镀用输送系统及多弧离子镀膜工艺

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3498711A (en) 1967-10-18 1970-03-03 Texas Instruments Inc Step and repeat camera
US3775644A (en) 1972-09-20 1973-11-27 Communications Satellite Corp Adjustable microstrip substrate holder
JPS57204547A (en) 1981-06-12 1982-12-15 Hitachi Ltd Exposing method
GB2155201B (en) 1984-02-24 1988-07-13 Canon Kk An x-ray exposure apparatus
US4599970A (en) 1985-03-11 1986-07-15 Rca Corporation Apparatus for coating a selected area of the surface of an object
US4915057A (en) 1985-10-23 1990-04-10 Gte Products Corporation Apparatus and method for registration of shadow masked thin-film patterns
US4915564A (en) * 1986-04-04 1990-04-10 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4699555A (en) 1986-05-08 1987-10-13 Micrion Limited Partnership Module positioning apparatus
JPS6351446U (zh) * 1986-09-22 1988-04-07
US4913789A (en) 1988-04-18 1990-04-03 Aung David K Sputter etching and coating process
US5567267A (en) 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5489369A (en) 1993-10-25 1996-02-06 Viratec Thin Films, Inc. Method and apparatus for thin film coating an article
US5707745A (en) 1994-12-13 1998-01-13 The Trustees Of Princeton University Multicolor organic light emitting devices
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
TW320687B (zh) 1996-04-01 1997-11-21 Toray Industries
US6084494A (en) 1997-01-23 2000-07-04 Hewlett-Packard Company Shuntable magnetic mask support apparatus
US6083566A (en) 1998-05-26 2000-07-04 Whitesell; Andrew B. Substrate handling and processing system and method
JP2000048954A (ja) 1998-07-30 2000-02-18 Toray Ind Inc 有機電界発光素子の製造方法
US6146489A (en) 1998-11-19 2000-11-14 General Electric Company Method and apparatus for depositing scintillator material on radiation imager
JP2000173769A (ja) 1998-12-03 2000-06-23 Toray Ind Inc 有機電界発光素子の製造方法
JP2002532758A (ja) 1998-12-14 2002-10-02 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ リング状esd保護領域を設けたマスク縁部を有するフォトマスク
TW552306B (en) 1999-03-26 2003-09-11 Anelva Corp Method of removing accumulated films from the surfaces of substrate holders in film deposition apparatus, and film deposition apparatus
JP2001049422A (ja) 1999-08-09 2001-02-20 Hitachi Ltd メタルマスクの基板への保持固定構造、保持固定治具、その補助具、及びトレイ
US6532975B1 (en) 1999-08-13 2003-03-18 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2001110567A (ja) 1999-10-08 2001-04-20 Toray Ind Inc 有機電界発光装置の製造方法
JP4453884B2 (ja) 1999-11-24 2010-04-21 大日本印刷株式会社 スパッタ用メタルマスクおよびカラーフィルタの製造方法
JP2001203079A (ja) 2000-01-18 2001-07-27 Toray Ind Inc 有機電界発光装置の製造方法
JP2001247961A (ja) 2000-03-06 2001-09-14 Casio Comput Co Ltd 蒸着用スクリーンマスク、蒸着方法及び有機el素子の製造方法
JP2002008859A (ja) 2000-06-16 2002-01-11 Sony Corp パターン形成装置、パターン形成方法、有機電界発光素子ディスプレイの製造装置及び製造方法
JP2002009098A (ja) 2000-06-16 2002-01-11 Sony Corp パターン形成装置、パターン形成方法、有機電界発光素子ディスプレイの製造装置及び製造方法
EP1352981B1 (en) 2000-11-21 2011-01-19 Nippon Yakin Kogyo Co., Ltd. Iron-nickel alloy material for shadow mask with excellent suitability for etching
US6895294B2 (en) 2000-12-04 2005-05-17 Freescale Semiconductor, Inc. Assembly comprising a plurality of mask containers, manufacturing system for manufacturing semiconductor devices, and method
JP4704605B2 (ja) 2001-05-23 2011-06-15 淳二 城戸 連続蒸着装置、蒸着装置及び蒸着方法
JP4078813B2 (ja) 2001-06-12 2008-04-23 ソニー株式会社 成膜装置および成膜方法
US6475287B1 (en) 2001-06-27 2002-11-05 Eastman Kodak Company Alignment device which facilitates deposition of organic material through a deposition mask
WO2003009346A2 (en) 2001-07-15 2003-01-30 Applied Materials,Inc. Processing system
CA2426641C (en) 2001-08-24 2010-10-26 Dai Nippon Printing Co., Ltd. Multi-face forming mask device for vacuum deposition
US6716656B2 (en) 2001-09-04 2004-04-06 The Trustees Of Princeton University Self-aligned hybrid deposition
US6589382B2 (en) 2001-11-26 2003-07-08 Eastman Kodak Company Aligning mask segments to provide a stitched mask for producing OLED devices
US6749690B2 (en) 2001-12-10 2004-06-15 Eastman Kodak Company Aligning mask segments to provide an assembled mask for producing OLED devices
NL1020633C2 (nl) 2002-05-21 2003-11-24 Otb Group Bv Samenstel voor het behandelen van substraten.
KR100838065B1 (ko) 2002-05-31 2008-06-16 삼성에스디아이 주식회사 박막증착기용 고정장치와 이를 이용한 고정방법
JP4440563B2 (ja) 2002-06-03 2010-03-24 三星モバイルディスプレイ株式會社 有機電子発光素子の薄膜蒸着用マスクフレーム組立体
JP3983113B2 (ja) 2002-06-20 2007-09-26 Tdk株式会社 円板状基板用成膜装置に対する基板の受け渡し方法、基板受け渡しシステム、および当該方法を用いたディスク状記録媒体の製造方法
JP4072422B2 (ja) 2002-11-22 2008-04-09 三星エスディアイ株式会社 蒸着用マスク構造体とその製造方法、及びこれを用いた有機el素子の製造方法
JP4380319B2 (ja) 2002-12-19 2009-12-09 ソニー株式会社 蒸着装置および有機エレクトロルミネッセンス素子の製造方法
US7578647B2 (en) 2003-01-27 2009-08-25 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
JP4219195B2 (ja) * 2003-03-14 2009-02-04 大日本スクリーン製造株式会社 基板搬送装置およびそれを備えた基板処理装置
KR101205263B1 (ko) 2003-08-07 2012-11-27 가부시키가이샤 니콘 노광 방법 및 노광 장치, 스테이지 장치, 그리고 디바이스 제조 방법
KR101003699B1 (ko) 2003-08-11 2010-12-23 주성엔지니어링(주) 섀도우 프레임을 포함하는 액정표시장치용 증착장치 및 그의 동작방법
TWI288305B (en) * 2003-10-27 2007-10-11 Asml Netherlands Bv Assembly of a reticle holder and a reticle
US7236233B2 (en) * 2003-10-27 2007-06-26 Asml Netherlands B.V. Assembly of a reticle holder and a reticle
JP4435541B2 (ja) * 2003-11-07 2010-03-17 株式会社カネカ Cvd装置及びcvd方法
JP4331707B2 (ja) 2004-12-16 2009-09-16 三星モバイルディスプレイ株式會社 整列システム、垂直型トレイ移送装置及びこれを具備した蒸着装置
KR100687502B1 (ko) * 2005-02-23 2007-02-27 세메스 주식회사 증착 장치 및 상기 장치에서 마스크를 회수하는 방법.
EP1715076B1 (de) 2005-04-20 2009-07-22 Applied Materials GmbH & Co. KG Verfahren und Vorrichtung zur Maskenpositionierung
DE502005003731D1 (de) 2005-04-20 2008-05-29 Applied Materials Gmbh & Co Kg Magnetische Maskenhalterung
DE102005021048A1 (de) 2005-05-06 2006-12-28 Infineon Technologies Ag Vorrichtung zum Stabilisieren eines Werkstücks bei einer Bearbeitung
JP5189370B2 (ja) 2006-02-01 2013-04-24 オリンパス株式会社 基板交換装置及び基板処理装置並びに基板検査装置
JP4781835B2 (ja) * 2006-02-07 2011-09-28 住友重機械工業株式会社 成膜装置
CN100368831C (zh) 2006-03-16 2008-02-13 曹国斌 一种采聚太阳能的掩模片及采用掩模片的太阳能装置
JP4614455B2 (ja) 2006-04-19 2011-01-19 東京エレクトロン株式会社 基板搬送処理装置
KR101003515B1 (ko) * 2006-04-19 2010-12-30 가부시키가이샤 알박 종형 기판반송장치 및 성막장치
US8128333B2 (en) * 2006-11-27 2012-03-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method for semiconductor devices
JP4753313B2 (ja) 2006-12-27 2011-08-24 東京エレクトロン株式会社 基板処理装置
JP5081516B2 (ja) 2007-07-12 2012-11-28 株式会社ジャパンディスプレイイースト 蒸着方法および蒸着装置
US7847938B2 (en) 2007-10-01 2010-12-07 Maskless Lithography, Inc. Alignment system for optical lithography
WO2009069743A1 (ja) 2007-11-30 2009-06-04 Canon Anelva Corporation 基板処理装置、及び基板処理方法
CN101889101B (zh) 2007-12-06 2014-09-24 因特瓦克公司 用于基板的双面溅射蚀刻的系统和方法
US8138782B2 (en) 2008-01-10 2012-03-20 Applied Materials, Inc. Photovoltaic cell solar simulator
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US20100111650A1 (en) 2008-01-31 2010-05-06 Applied Materials, Inc. Automatic substrate loading station
US7843295B2 (en) 2008-04-04 2010-11-30 Cedar Ridge Research Llc Magnetically attachable and detachable panel system
US20090325367A1 (en) 2008-05-30 2009-12-31 Alta Devices, Inc. Methods and apparatus for a chemical vapor deposition reactor
US8795466B2 (en) 2008-06-14 2014-08-05 Intevac, Inc. System and method for processing substrates with detachable mask
DE102008037387A1 (de) 2008-09-24 2010-03-25 Aixtron Ag Verfahren sowie Vorrichtung zum Abscheiden lateral strukturierter Schichten mittels einer magnetisch auf einem Substrathalter gehaltenen Schattenmaske
US8309374B2 (en) * 2008-10-07 2012-11-13 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
WO2010042927A2 (en) 2008-10-10 2010-04-15 Alta Devices, Inc. Continuous feed chemical vapor deposition
EP2359392A2 (en) 2008-10-10 2011-08-24 Alta Devices, Inc. Concentric showerhead for vapor deposition
JP5134495B2 (ja) * 2008-10-16 2013-01-30 東京エレクトロン株式会社 処理装置及び処理方法
EP2351871B1 (en) 2008-10-21 2016-06-15 Ulvac, Inc. Mask and method for forming film using this mask
KR101202346B1 (ko) 2009-04-16 2012-11-16 삼성디스플레이 주식회사 박막 증착용 마스크 프레임 조립체, 그 제조 방법 및 유기 발광 표시 장치의 제조 방법
ITUD20090214A1 (it) 2009-11-24 2011-05-25 Applied Materials Inc Effettore d'estremita' per la manipolazione di substrati
WO2011024853A1 (ja) 2009-08-26 2011-03-03 キヤノンアネルバ株式会社 成膜装置
JP2011049507A (ja) * 2009-08-29 2011-03-10 Tokyo Electron Ltd ロードロック装置及び処理システム
KR101206250B1 (ko) 2009-10-13 2012-11-28 주식회사 엘지화학 식각 마스크 패턴 형성용 페이스트 및 이의 스크린 인쇄법을 이용한 실리콘 태양전지의 제조방법
US20110141448A1 (en) 2009-11-27 2011-06-16 Nikon Corporation Substrate carrier device, substrate carrying method, substrate supporting member, substrate holding device, exposure apparatus, exposure method and device manufacturing method
KR101110268B1 (ko) * 2010-04-30 2012-02-16 삼성전자주식회사 로터리 유니온을 구동하는 공압 공급관의 꼬임을 방지하는 화학 기계식 연마시스템
KR101152598B1 (ko) * 2010-05-31 2012-06-04 주식회사 테스 기판처리장치
JP5582895B2 (ja) 2010-07-09 2014-09-03 キヤノンアネルバ株式会社 基板ホルダーストッカ装置及び基板処理装置並びに該基板ホルダーストッカ装置を用いた基板ホルダー移動方法
EP2423350B1 (en) 2010-08-27 2013-07-31 Applied Materials, Inc. Carrier for a substrate and a method for assembling the same
US9837294B2 (en) * 2011-09-16 2017-12-05 Persimmon Technologies Corporation Wafer transport system
US8378318B1 (en) 2011-11-18 2013-02-19 Varian Semiconductor Equipment Associates, Inc. Fixed mask design improvements
US20130176691A1 (en) 2012-01-10 2013-07-11 Hzo, Inc. Masks for use in applying protective coatings to electronic assemblies, masked electronic assemblies and associated methods
US9525099B2 (en) 2012-04-19 2016-12-20 Intevac, Inc. Dual-mask arrangement for solar cell fabrication
US9502276B2 (en) 2012-04-26 2016-11-22 Intevac, Inc. System architecture for vacuum processing
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
US9082799B2 (en) 2012-09-20 2015-07-14 Varian Semiconductor Equipment Associates, Inc. System and method for 2D workpiece alignment
SG10201807691XA (en) 2014-02-20 2018-10-30 Intevac Inc System and method for bi-facial processing of substrates
CN106688088B (zh) 2014-08-05 2020-01-10 因特瓦克公司 注入掩膜及对齐

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9525099B2 (en) 2012-04-19 2016-12-20 Intevac, Inc. Dual-mask arrangement for solar cell fabrication
US9502276B2 (en) 2012-04-26 2016-11-22 Intevac, Inc. System architecture for vacuum processing
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
US9543114B2 (en) 2014-08-05 2017-01-10 Intevac, Inc. Implant masking and alignment system with rollers

Also Published As

Publication number Publication date
CN104582863A (zh) 2015-04-29
EP2852469A4 (en) 2015-09-02
JP2015521373A (ja) 2015-07-27
US20130287526A1 (en) 2013-10-31
MY170824A (en) 2019-09-04
PT2852469T (pt) 2019-07-31
SG11201406893XA (en) 2014-11-27
KR102072872B1 (ko) 2020-02-03
EP2852469B1 (en) 2019-04-24
US10115617B2 (en) 2018-10-30
TW201401412A (zh) 2014-01-01
CN104582863B (zh) 2016-09-21
JP6231078B2 (ja) 2017-11-15
KR20150051935A (ko) 2015-05-13
US9502276B2 (en) 2016-11-22
EP2852469A1 (en) 2015-04-01
US20170025300A1 (en) 2017-01-26
WO2013163622A1 (en) 2013-10-31

Similar Documents

Publication Publication Date Title
TWI518832B (zh) 真空處理系統架構
TWI696231B (zh) 基板的雙面加工系統及方法
US10679883B2 (en) Wafer plate and mask arrangement for substrate fabrication
US10062600B2 (en) System and method for bi-facial processing of substrates
TWI611998B (zh) 製造基板所用的晶圓承載板與光罩配置
JP6243898B2 (ja) 太陽電池製造のための2重マスク装置
JP2018531510A6 (ja) 基板製造のためのウエハプレートおよびマスク器具
KR101401516B1 (ko) 트레이 정렬장치와 이를 포함하는 태양전지 제조장치 및이를 이용한 트레이 정렬방법
TWI275132B (en) Substrate carrying device and substrate processing device having the same
US10854497B2 (en) Apparatus and method of selective turning over a row of substrates in an array of substrates in a processing system
KR101760667B1 (ko) 고생산성 박막증착이 가능한 원자층 증착 시스템

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees