JP2015521373A - 真空プロセスのためのシステム構成 - Google Patents

真空プロセスのためのシステム構成 Download PDF

Info

Publication number
JP2015521373A
JP2015521373A JP2015509204A JP2015509204A JP2015521373A JP 2015521373 A JP2015521373 A JP 2015521373A JP 2015509204 A JP2015509204 A JP 2015509204A JP 2015509204 A JP2015509204 A JP 2015509204A JP 2015521373 A JP2015521373 A JP 2015521373A
Authority
JP
Japan
Prior art keywords
carrier
substrate
mask
carriers
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015509204A
Other languages
English (en)
Other versions
JP6231078B2 (ja
Inventor
ブラック,テリー
ヴィネイ シャー,
ヴィネイ シャー,
アレックス リポサン,
アレックス リポサン,
Original Assignee
インテヴァック インコーポレイテッド
インテヴァック インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インテヴァック インコーポレイテッド, インテヴァック インコーポレイテッド filed Critical インテヴァック インコーポレイテッド
Publication of JP2015521373A publication Critical patent/JP2015521373A/ja
Application granted granted Critical
Publication of JP6231078B2 publication Critical patent/JP6231078B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

プラズマチャンバにおける基板のプロセスのためのシステムであり、基板の搬送や、装着・取外し操作はすべて大気環境内でおこなわれるが、プロセスは真空環境内でおこなわれる。前記基板は、システム全体にわたってキャリア上で搬送される。前記システムのチャンバは、キャリアが1つのチャンバから次のチャンバへ直接的に移動するように、直線的に配置される。前記システムのチャンバの上または下に配置されるコンベアは、プロセス完了後に、前記キャリアを前記システムの入口領域に戻す。基板の装着と取外しとは、前記システムの1つの側でおこなわれるか、または装着は入口側で、取外しは出口側でおこなわれることが可能である。【選択図】図1B

Description

(関連出願)
本出願は、2012年4月26日出願の米国仮特許出願第61/639,052号の優先権の利益を主張し、そのすべての内容がここに参考文献として援用される。
(技術分野)
本願は、例えば太陽電池、フラットパネルディスプレイ、タッチスクリーンなどの製造に使用されるシステムなどの、真空プロセスのためのシステムに関する。
半導体IC、太陽電池、タッチスクリーンなどの製造技術において、様々なシステムが知られている。これらのシステムの工程は真空でおこなわれ、物理気相成長(PVD)、化学気相成長(CVD)、イオン注入、エッチングなどを含む。このようなシステムには2つの基本的な方法があり、それは単基板処理またはバッチ処理である。単ウエハ処理では、処理中に単一の基板のみがチャンバ内に存在する。バッチ処理では、処理中にいくつかの基板がチャンバ内に存在する。単基板処理は、チャンバ内部の処理と、結果として基板上に構成される膜または構造との高レベルの制御が可能であるが、比較的低いスループットがもたらされる。一方で、バッチ処理は、処理状態と結果としての膜または構造とに制御の低さが生じるが、実に高いスループットをもたらす。
太陽電池、タッチパネルなどの製造システムで用いられるようなバッチ処理は、一般に、n×m基板の2次元アレイにおいて基板の搬送と製造とをすることによっておこなわれる。例えば、Roth&Rauによって開発されたソーラー製造用のPECVDシステムは、2005年に報告されるところでは1200ウエハ/時間のスループット用の5×5ウエハのトレイを使用する。しかし、その他のシステムは、6×6、7×7、8×8、およびさらにより多くの数のウエハの2次元アレイを備えるトレイを用いる。2次元ウエハアレイのトレイを使用して、スループットは高まるが、そのような大きいトレイの取扱い、ならびに装着および取外しの操作は複雑になる。
一部のプロセスでは、処理される基板に、例えば高周波または直流電位などのバイアスを適用することが必要である。しかし、バッチ処理は基板を載せる移動トレイを用いるので、バイアスを適用することは困難である。
また、一部のプロセスが基板を水平に保持しておこなわれる一方で、一部のプロセスでは基板が垂直に保持されることが有用である。しかしながら、垂直に基板を装着および取外しすることは、水平に装着および取外しすることと比較して複雑である。
一部のプロセスでは、特定の製造工程から基板の一部を遮断するためのマスクの使用が必要とされる。例えば、マスクはコンタクトの形成用、またはセルのシャントを防ぐための縁部の除外用に用いられてもよい。つまり、前側と後ろ側とにコンタクトを有するセルでは、コンタクトを製造するために使用される材料はウエハの縁部に堆積され、フロントおよびバックコンタクトをシャントさせる。そのため、少なくともフロントまたはバックコンタクトの製造時にセルの縁部を除外するためにマスクを用いることが望ましい。
他の態様では、シリコン太陽電池の構成において、裏表面にブランケット金属を堆積して光反射体および電気導体として機能させることが望ましい。金属は典型的にはアルミニウムであるが、ブランケット金属は、例えば費用、伝導性、はんだ付け性などの複数の理由により用いられる任意の金属であってもよい。堆積膜厚は、例えば約10nmなど著しく薄いものから、例えば2〜3μmまでの著しく厚いものであってよい。しかし、太陽電池の前後の表面間の抵抗接続、つまりシャントを生じるので、シリコンウエハ縁部周囲の被覆からブランケット金属を除く必要がある。また、この接続を防ぐため、ウエハの後ろ側縁部に除外領域を設けてもよい。除外領域の典型的な範囲は、幅2mm未満であるが、できるだけ細い除外部分にすることが好ましい。
この除外領域を設けるための1つの方法はマスクの使用である。しかし、マスクの使用には多くの壁がある。ソーラー産業の元来の競争の激しさから、マスクは製造するのに著しく安価である必要がある。また、ソーラー製造設備の高スループットのため(典型では1500〜2500セル/h)、大量生産において、マスクの使用は迅速性と簡便性とが必要になる。加えて、マスクはウエハの所定部分上の膜堆積を防ぐために用いられるので、積み上がる堆積物を吸収し受け入れることが可能であるべきである。さらに、膜堆積は上昇温度でおこなわれるので、マスクは、除外領域幅を正確に維持し、熱応力による基板の反りに適応しながら、上昇温度、例えば350℃までの温度で適切に機能できる必要がある。
以下の概要は、本発明の一部の態様および特徴の基本的な理解を得るために盛り込まれる。この発明の概要は本発明の広範の概要ではなく、それ自体で本発明の重要なまたは本質的な要素を特に特定したり、本発明の範囲を定義することを意図してはいない。後述されるより詳細な実施形態の導入部として、本発明のいくつかのコンセプトを簡略化した形で提示することがただ一つの目的である。
本発明の実施形態は、様々なプロセスおよびプロセスステップの使用を可能にするモジュールであり、そして、例えば、太陽電池、フラットパネルディスプレイ、タッチスクリーンなどを含む様々な装置の製造に適する汎用性のあるシステム構成を提供する。さらに、該システムは、再構成されることなく、使用されるサセプタを単に変えることによって、様々な型およびサイズの基板を取り扱うことができる。
該システム構成は、真空プロセスとは別にして、大気環境において、例えば装着および取外しなどの基板の取扱いを可能にする。さらに、様々な実施形態では、自動操作が休止状態かまたは存在しないことで手動の装着および取外しができる、つまり、システムは装着・取外しの自動化をすることなく適用される。真空環境内部では、該システムによって基板の静止または通過プロセスが可能になる。特定の実施形態では、各プロセスチャンバの間に作動弁が用いられて、真空分離が設けられる。様々な実施形態において、効率的に冷却することを可能にし、基板の偶発的な動作を防ぐために基板の静電チャックが設けられる。その他の実施形態では、機械的チャックによって、例えば基板の装着・取外しのための弛緩機構を備えるばね付きクリップを使用することが可能である。また様々な実施形態において、例えば高周波もしくは直流電位、または基板の浮揚などを用いて、基板のバイアスができる。
様々な実施形態において、ラインアレイキャリア上で取扱いをおこなわせることによって、基板の取り扱いが簡便化でき、いくつかのラインアレイキャリアを共に処理することによって、n×m基板の2次元アレイ上で処理がおこなわれる。その他の実施形態では、搬送機構が設けられ、基板は垂直方向で処理されるが、装着および取外しは基板が水平に扱われるときにおこなわれる。
また、本発明の実施形態では、2重マスク装置を用いることで適用されるマスクを使用する基板処理が可能である。2つの部分からなるマスキングシステムは基板をマスキングするように構成され、処理されるウエハの一部を露出する開口部を有する金属平板からなる内マスクと、内マスクの上に配置され、内マスクの厚さより厚く、基板のサイズおよび形状と同様のサイズおよび形状の開口部を有し、内マスクをマスキングするように構成される外マスクを含む。マスクフレームは、外マスクがマスクフレームと内マスクとに挟まれるようにして、内マスクと外マスクとを支持するために構成されてもよい。ひとつの実施例では、2重マスク装置は縁部分離のために用いられ、内マスクの開口部はソーラーウエハより若干小さいサイズであるので、内マスクがウエハ上に配置されるときにウエハの周縁部を覆い、外マスクの開口部は内マスクの開口部より若干大きい。上部フレームキャリアは、内マスクおよび外マスクを保持し、内マスクおよび外マスクをウエハサセプタに取り付けるために用いられてもよい。
また、4列の基板を共に取り扱う装着および取外し機構が設けられる。装着および取外し機構は、下降位置と上昇位置とを有して、垂直動作のために構成される。該機構は、下降位置において、1つのキャリアからプロセス後の基板の列を取り除くと共に、空のキャリア上に新しい基板の列を設置し、基板除去機構上にプロセス後の基板の列を設置し、そして基板搬送機構から新しい基板の列を回収する。基板除去機構および基板搬送機構は、同一のまたは対向する方向に移動するコンベアベルトであってよい。上昇位置では、該機構は180度回転されるように構成される。
本明細書に包含され、その一部を構成する添付の図面は、本発明の実施形態を例示し、発明を実施するための形態と共に本発明の原理を説明し描出する役割を担う。図面は図表図式によって例示の実施形態の主な特徴を示すことが意図されている。また、図面では、実際の実施形態のすべての特徴や、描かれる要素の相対的なサイズが提示されることは意図されていない。そして、図面は正確な縮尺率ではない。
図1は、複数の基板のプロセスシステムの実施形態を示し、搬送キャリアは基板のラインアレイを支持するが、プロセスは基板の2次元アレイ上でおこなわれる。 図1Aは、システムの実施例を示し、キャリアは搬送およびプロセス中に水平方向に留まる。 図1Bは、実施例を示し、キャリアは搬送、および装着・取外し中に水平であるが、プロセス中には垂直である。 図2は一実施形態に係る複数のウエハのキャリアを示し、図2Aは部分的な横断面で、図2Bはシリコンウエハを処理するためのキャリアの実施例で、図2Cはガラス基板を処理するためのキャリアの実施例を示す。 図3Aは上面図であり、図3Bは一実施形態に係る装着・取外し機構の側面図、図3Cは基板アライメント機構の実施形態を示す。 図4は、本開示のシステムと共に用いられ得る真空プロセスチャンバ400の実施形態を示す。 図5は、マスクおよびキャリア組立体の実施形態を示す。 図6A〜図6Cは、真空チャンバが、変化するサイズおよび構成の様々なプロセスソースにどのように適応可能かを示す3つの実施形態を示す。 図7A〜図7Eは、様々な実施形態に係る、2重マスク装置を有する複数のウエハのキャリアの図を示す。 図8は、一実施形態に係るフレーム、外マスクおよび内マスクの拡大部分の横断面であり、図8Aは、別の実施形態に係るフレーム、外マスクおよび内マスクの拡大部分の横断面である。 図9は、外マスクと、その中に入れ子になって収まる内マスクとの実施形態を示す。 図10は、縁部分離に用いられる内マスクの実施形態を示す。 図11は、単一のウエハキャリアの実施形態を示す。 図12は、下側から見た外マスクの実施形態を示す。 図13は、内マスクおよび外マスクを支持するための上部フレームの実施形態を示す。 図14は、ウエハに複数の穴を形成するための内マスクの実施形態を示す。 図15は、図9のマスクと共に使用されるサセプタの実施形態を示す。
以下の詳細な説明は、本明細書に記載される革新的なプロセスシステムの特定の特徴および態様に重点を置いた実施例を提供する。開示される様々な実施形態において、例えば半導体またはグラス基板などの複数の基板が、例えばプラズマプロセスチャンバなどの真空プロセスチャンバの内部で共に処理されるというシステムが提供される。例えばタッチスクリーンに使用されるようなグラス基板は一般にウエハとは考えられないものの、本開示におけるウエハに対する参考例は理解を簡便で平易にするために用いられ、グラス基板はそのようなすべての参考例の代替になり得るということを理解する必要がある。
図1は、複数の基板のプロセスシステムの実施形態の上面図であり、搬送キャリアは、基板のラインアレイを支持するが、プロセスは基板の2次元アレイ上でおこなわれる。図1に示されるシステム100において、基板は、装着・取外しステーション105で、すなわちシステムの同じ側から装着および取外しをされる。しかしまた、システムについて、装着ステーションがシステムの1つの側に設けられる一方で、取外しステーションがシステムの対向する側に設けられるように設計されてもよいということを理解する必要がある。一部の実施形態では、キャリア上かキャリアからかの少なくとも一方で基板の装着および/または取外しが手動でおこなわれるが、その他では、これら1つのまたは2つのタスクをおこなうように自動化される。
基板は、キャリア帰着ステーション110から搬送された、装着・取外しステーション105内に位置するキャリア上に装着される。各キャリアは、システム内部を移動する方向に垂直の方向で、基板の線形アレイ、すなわち単一の列に配置された2つ以上の基板を支持する。キャリアは、装着・取外しステーション105から、キャリア帰着ステーション110を経由してバッファステーション115へと移動する。また、キャリアは、低真空ロードロック(LVLL)120の受け入れ準備が整うまで、バッファステーション115に留められる。後述される一部の実施形態では、バッファステーションは傾斜ステーションとしても機能し、水平方向のキャリアは、垂直方向を取るために90度傾斜される。そのような実施形態では、垂直方向を取る場合に、クリップが用いられてその位置に基板を保持する。
弁112は適切な時点で開き、バッファステーション115内に位置するキャリアはLVLL120内に搬送される。そして、弁112は閉じ、LVLL120は概ね真空レベルまで排気される。その後、弁113が開き、LVLL120からのキャリアは高真空ロードロック(HVLL)125内に搬送される。HVLLが真空レベルまで排気されたら、弁114が開きHVLL125からのキャリアはプロセスチャンバ130へと搬送される。システムは、キャリアが1つのチャンバから2つの各プロセスチャンバの間に位置する弁を経由して次へと搬送されるように、直線的にアライメントされた任意の数のプロセスチャンバ130を有してもよい。最後のプロセスチャンバの末尾では、システムへの入口のように、逆ロードロック装置へと導く弁が配置される、つまりまずHVLLそれからLVLLとなる。その後、キャリアは、弁116を経由してキャリア帰着モジュール135へと出る。キャリアは、例えばプロセスチャンバ130の上または下に位置するコンベア(図示せず)などを使用して、帰着モジュール135からキャリア帰着ステーション110へと戻される。
上述のように、各キャリアは、基板の装着と取外しとを容易にし、キャリアの製造、取扱い、および搬送を至って容易にする線形アレイの基板を支持する。しかし、システムが高スループットを有するため、各プロセスチャンバ130は、次々に配置されるいくつかの、つまり2つ以上のキャリア上に配置される基板の2次元アレイを収容し、共に処理するように構成される。図1の特定の実施形態では、より効率化するため、バッファステーション115、LVLL120、およびHVLL125は、プロセスチャンバ130内に共に収容されるのと同じ数のキャリアを共に収容するように各々構成される。例えば、各キャリアは1列で3つのガラス基板を支持してもよいが、各プロセスチャンバは2つのキャリアを共に処理するように構成され、3×2基板の2次元アレイを処理する。
その他の実施形態では、ロードロックとバッファチャンバとは、複数のキャリア、例えば2つのキャリアを取り扱うようなサイズに定められて、排気か通気かの少なくともどちらか一方、および圧力安定時間の増大がもたらされる。また、バッファチャンバは、ステーションからステーションへの動作のうちの1つから、プロセスチャンバ内部の連続的通過動作のうちの1つまで、キャリア動作の移行のために使用されてもよい。例えば、1つのプロセスチャンバが静止モードでキャリアを処理する一方で、次のチャンバが通過モードで処理する場合に、バッファチャンバはそれら2つのチャンバの間に配置されてもよい。システムのキャリアは、プロセスチャンバまたはモジュール内にキャリアの連続的な流れを作り出し、そして各プロセスチャンバかモジュールかの少なくとも一方は、端から端までの方法でプロセスソース(例えば、熱源、PVD、エッチングなど)を通過して継続的に移動する、5〜10のキャリアを有してもよい。
図1に示されるように、基板の搬送、装着および取外しのために提供されるシステムの一部は大気環境に配置される。一方で、あらゆるプロセスは真空環境でおこなわれる。大気環境における搬送、装着および取外しは真空においてよりも至って容易である。
図1Aは、図1に示されるようなシステムの実施例を示し、キャリア200は搬送およびプロセス中に水平方向に維持される。キャリアは、プロセスチャンバの上に配置されるコンベア140を経由して始点に戻される。図1Aに示されるように、各キャリア200は、1列に直線的に配置された4つの基板220を支持する。また、一緒に配置される6つのキャリアの配置を明らかにするために、解説の目的で、チャンバ120の上部が取り除かれている。そのため、この実施形態では、各キャリアが4つの基板を支持しながら、各チャンバは24の基板を同時に処理する。
図1Bは実施例を示し、キャリアは、搬送、および装着か取外しかの少なくとも一方をおこなっているときに水平であるが、プロセス中には垂直である。図1Bの装置は、基板を垂直方向で処理するために、ロードロックとプロセスチャンバとが垂直になっていることを除いては、図1Aの装置と至って類似している。図1Aと図1Bとの実施形態において、ロードロックとプロセスチャンバとの構成は、図1Aにおいて水平に設置されているが、図1Bではそれらの側部で垂直に設置されていることを除いて、同一であり得る。そのため、バッファステーション115およびシステムのもう一方の端のバッファステーション145は、バッファステーション145において示されるように、キャリアの方向を90度変えるリフト装置を含むように変更される。
図2は、シリコンウエハ、グラス基板などを処理するために構成される、ひとつの実施形態に係るラインアレイキャリアを示す。図2に示されるように、この実施形態に係るラインアレイキャリアの構成はむしろ単純で安価なものである。キャリアは、単にキャリアの上に種々のチャックを設置することによって、様々な数の基板および基板サイズ用に構成されてもよいということを理解する必要がある。また、各プロセスチャンバは、いくつかのキャリアを共に収容するように構成され、複数のキャリア上の複数のウエハが共に処理されてもよいということも理解されるべきである。
図2のキャリア200は、2つの搬送レール225と2つのセラミックバー210とによって形成される、単純なフレーム205から構成される。セラミックバー210は、そこに取り付けられたサセプタ(図示せず)の、チャンバの残部からの熱的分離を向上する。各セラミックバー210の少なくとも1つの側は、吹き出しで示されるように、搬送レール225と共にフォーク装置235を形成する。キャビティ245は、セラミックバー210が熱的膨張により自由に動くことを許容し(双方向矢印で示す)、そして搬送レール225に圧力を伝えないように、フォーク装置235内に形成される。
磁気駆動バー240は、搬送レール225の各々に設けられ、キャリアをシステム全体に搬送可能にする。磁気駆動バーは、磁化車輪上に乗ってキャリアを搬送する。システムの清浄性向上のため、駆動バー240はニッケルめっきをされてもよい。この磁気装置によって、高加速によるキャリアの摺動のない正確な搬送が可能になる。また、この磁気装置では、キャリアが磁力によって車輪に取り付けられ、横方向の大きい空隙に大部分が飛び出すようにして、車輪の間隔を大きくとることを可能にする。さらに、キャリアは磁力によって車輪に取り付けられているので、この磁気装置によって、垂直または水平方向のいずれかでキャリアの搬送が可能になる。
キャリアコンタクト組立体250は搬送レール225に取り付けられ、チャンバに取り付けられたチャンバコンタクト組立体252と連結する(吹き出し参照)。チャンバコンタクト組立体は、コンタクトブラシ262を埋め込まれた絶縁バー260を有する。コンタクト組立体250は、絶縁ばね264と絶縁バー260との間に挿入される導電性エクステンション251(図2A)を備え、連結するコンタクトからバイアス電位を受けるためにコンタクトブラシ262に対して押圧される。バイアスは、例えば基板バイアス、基板チャック(静電チャック用)などに用いられてもよい。また、バイアスはRFまたはDC(連続的またはパルス状)であってよい。キャリアコンタクト組立体250はキャリアの1つの側または両側に設けられてもよい。
図2Aは、キャリアがどのように搬送されて、どのようにバイアス力を受けるかを示している部分的な横断面である。具体的には、図2Aは、シャフト268に取り付けられた3つの磁化車輪267に乗っている駆動バー240を表す。シャフト268は、チャンバの内部真空環境の外部から回転されるように、チャンバ壁269を超えて延長する。また、シャフト268は、例えばO−リングなどの可撓性ベルトを介してモータと連結してシャフトの直径の変化に適応する。
図2Bは、例えば太陽電池の製造用などのシリコンウエハの処理のためのキャリアの実施例を示す。図2Bにおいて、ウエハ220は、例えばチャック電位などを用いてサセプタ223に固定されてもよい。リフター215は、装着および取外しのためにウエハを持ち上げたり降ろしたりするのに使用されてもよい。図2Cはひとつの実施形態を示し、キャリアは例えばタッチスクリーンなどのグラス基板を処理するために用いられてもよい。この実施形態では、基板は、ばね付き機械クランプまたはクリップ227を用いて位置を保持されてもよい。サセプタ224は、ばね付きクリップ用の装置を備える単純なパレットであってよい。
図3Aおよび図3Bは、キャリアの帰着と併せて、基板の装着および取外し機構の実施形態を示す。図3Aは装着・取外し機構の上面図であり、図3Bは側面図である。図1Aに示すように、プロセス完了後にコンベアはキャリアを戻す。そして、キャリアはエレベータ107によって降下させられ、装着・取外しステーション105に水平に搬送される。図3Aおよび図3Bに示されるように、2重コンベア、つまりコンベア301と303とはプロセスのために新しい基板を運搬し、プロセス後のウエハを取り除くために用いられる。システムはいずれにせよ全く同様に作動するので、どちらが新しいウエハを運搬し、どちらがプロセス後のウエハを取り除くかは、実のところ重要ではない。また、この実施形態では、コンベア301と303とは反対の方向に基板を搬送することが示されているが、両方のコンベアが同一の方向に進行する場合に同じ結果が得られる。
図3Aおよび図3Bの装置は、2つのキャリアを共に取り扱うことを支援する。特に、この実施形態では、プロセス後の基板は1つのキャリアから取り外されると共に、新しい基板は別のキャリアに装着される。さらに、プロセス後の基板はプロセス後基板のコンベア上に設置されると共に、新しい基板が新しい基板のコンベアから取り上げられて、次の巡回のキャリアへ運搬される。この操作は以下のようにおこなわれる。
基板の取上げ機構は2つの動作、回転と垂直動作とを備えるように構成される。4列のチャック307が基板の取上げ機構305に取り付けられる。チャック307は、例えば、真空チャック、静電チャックなどであってよい。この特定の実施例では、4列のベルヌーイチャック、つまりベルヌーイ吸着を用いて基板を保持可能であるチャックが使用される。4列のチャックは、2列のチャックがキャリアにアライメントされるときに、他方の2列はコンベアにアライメントされるように、各側に2つずつ配置される。そして、取上げ機構305が降下位置にあるときに、1列のチャックがキャリアからプロセス後の基板を取り上げ、別の列が別のキャリアに新しい基板を設置する一方で、他方の側では、1列のチャックがコンベアにプロセス後の基板を設置し、別の列のチャックが他方のコンベアから新しい基板を取り上げる。そして、取上げ機構305は上昇位置を取り、180度回転すると共に、キャリアは1ピッチ進み、つまり、新しい基板を有するキャリアは1ステップ進み、プロセス後の基板が取り除かれたキャリアは新しい基板の装着位置へと移動し、そして、プロセス後の基板を有する別のキャリアは取外し位置へと移動する。その後、取上げ機構305は降下位置を取り、プロセスは反復される。
具体的な実施例を提供するため、図3Aのスナップショットでは、キャリア311は、取上げ装置305上の1列のチャックによって取り上げられるプロセス後の基板を有する。キャリア313には、取上げ装置305のチャックの別の列から、新しい基板が装着されている。取上げ装置305の他方の側では、1列のチャックがコンベア303にプロセス後の基板を設置しており、別の列のチャックはコンベア301から新しい基板を取り上げている。これらの動作が完了したとき、取上げ装置305は、曲線状の矢印で示されるように、上昇位置に上げられ、180度回転させられると共に、すべてのキャリアは1ステップ進み、つまりキャリア316は、先立ってキャリア317に占有されていた位置へと移動し、現時点で新しい基板を装着したキャリア313は、先立ってキャリア316に占有されていた位置へと移動し、現時点で空であるキャリア311は、先立ってキャリア313に占有されていた位置へと移動し、そして、プロセス後の基板を装着したキャリア318は、先立ってキャリア311に占有されていた位置へと移動する。そして、取上げ装置は降下し、キャリア311は新しい基板を装着され、プロセス後の基板はキャリア318から取り除かれ、キャリア318から取り除かれた基板はコンベア303上に設置され、新しい基板がコンベア301から取り上げられる。そして、取上げ装置305は上昇させられ、プロセスが反復される。
また、図3Aおよび図3Bの実施形態では、選択的なマスクリフター装置321が適用される。この実施形態では、基板表面上に必要とされるパターンを生成するため、すなわち、プロセス用に基板の所定の領域を露出するが、プロセスを防ぐためにその他の部分は被覆されるように、マスクが使用される。キャリアは、マスクリフター321に到着するまで、基板上部に配置されたマスクと共にシステム内を移動する。プロセス後の基板を有するキャリアがマスクリフターに到着すると(図3Aおよび図3B、キャリア318)、マスクリフター321は上昇位置を取り、キャリアからマスクを持ち上げる。そして、キャリアは取外しステーションに進んでプロセス後の基板を取り外すと共に、新しい基板を有するキャリア(図3B、キャリア319)はマスクリフター装置に移動し、マスクリフター321は、プロセス用に新しい基板上にマスクを配置するために降下位置を取る。
理解されるように、図3Aおよび図3Bの実施形態では、マスクリフターは1つのキャリアからマスクを取り除き、別のキャリアにそのマスクを配置する。つまり、マスクは、マスクが取り除かれたキャリアに戻されることはなく、しかし異なるキャリア上に配置される。システムにおけるキャリアの設計および数に依存して、何回かの巡回後に、マスクは同じキャリアへと戻される可能性もあるが、それは別のキャリアから取り除かれた後においてのみである。逆もまた然りで、すなわち、設備におけるキャリアとマスクの設計および数に依存して、各マスクがシステム内の全キャリアに用いられるという可能性もある。つまり、システム内の各キャリアは、システム内のマスクのそれぞれと共に使用されてもよく、システムの各プロセス周期で、キャリアは異なるマスクを用いてもよい。
吹き出しに示されるように、キャリアエレベータは、キャリアの各側に1つずつの、2つの垂直コンベア装置を有することによって適用されてもよい。各コンベア装置は、ローラ336により動作される1つ以上のコンベアベルト333からなる。リフトピン331はベルト333に取り付けられ、ベルト333が動作すると、ピン331はキャリアに係合し、垂直方向にキャリアを移動させる(すなわち、エレベータがシステムのどの側に位置するか、そしてキャリア帰着コンベアがプロセスチャンバの上かまたは下に位置するか次第で、上かまたは下へ)。
図3Cは、基板アライメント機構の実施形態を示す。この実施形態では、チャック345は、1つの側にばね付きアライメントピン329を、そして対向する側にノッチ312を有する。回転プッシュピン341は、点線および回転矢印によって示されるように、ノッチ312に進入するように構成されて、アライメントピン329に対して基板320を押し、そして退く。注目すべきことに、回転プッシュピン341はチャック345またはキャリアの一部ではなく、システム内を移動しないで、静止している。また、ばね付きアライメントピンは、マスクが使用される場合に、降下位置に圧縮される。そして、アライメントピンを備えて構成される第1の側、第1の側と直交し2つのアライメントピンを備えて構成される第2の側、第1の側に対向し第1のノッチを備えて構成される第3の側、および第2の側に対向し第2のノッチを備えて構成される第4の側を有するチャックを含んで、基板アライメント機構が設けられる。アライメント機構は、第1のノッチに進入するように構成され第1のアライメントピンに対して基板を押す第1のプッシュピンと、第2のノッチに進入するように構成され2つのアライメントピンに対して基板を押す第2のプッシュピンと、をさらに含む。
図4は、本開示のシステムと共に用いられ得る真空プロセスチャンバ400の実施形態を示す。図4の図表では、チャンバの蓋が取り除かれて内部構造を露出している。チャンバ400は、その構成要素または構造にいずれの改変もなく、水平または垂直方向に取り付けられることができる。チャンバは、真空排気のための開口部422を備える単純な箱枠で構成される。入口開口部412が1つの側壁に切り取られる一方で、出口開口部413は対向する側壁に切り取られ、キャリア424がチャンバに進入し、チャンバ全体を横断し、そしてもう一方の側からチャンバを退出することを可能にする。明確にするため、図4の図ではゲート弁414のみが表されているものの、ゲート弁は各開口部412と開口部413とに設けられる。
キャリア424を、水平および垂直方向において効率的で正確に搬送可能にするために、チャンバの対向する側壁に磁気車輪402が設けられる。キャリアは磁気車輪402上に乗る磁気バーを有する。車輪402が設置されるシャフトは、大気環境中へとチャンバの外側に延長され、モータ401によって動作される。具体的には、いくつかのモータ401が設けられ、例えばO−リングなどのベルトを用いていくつかのシャフトをそれぞれ動作される。また、従動輪404がキャリアを横方向に制限するように設けられる。
図4の実施形態の特徴は磁気車輪の直径がチャンバの側壁の厚さよりも小さいことにある。これは、車輪406と407とによって示されるように、入口および出口開口部の412および413の内側に磁気車輪を配置することを可能にする。入口および出口開口部の412および413の内側に車輪406と407とを配置することによって、キャリアが車輪の支持なしで横断する必要がある間隙が最小になるので、チャンバへの、そしてチャンバからの、キャリアの円滑な搬送が可能になる。
図5は、マスクおよびキャリア組立体のための実施形態を示す。曲線矢印に沿って左から右へと進行して、単一の基板マスク組立体501は、いくつかのマスク組立体を支持するマスクキャリア503上に設置され、マスクキャリア503は基板キャリア505上に設置される。ひとつの実施形態では、浮かんでいるマスク組立体501の間のばねは、各マスクがそれぞれの基板にアライメントされるように、基板キャリア505上に設けられたガイドピン507で係合するためにその位置を保持する。各単一の基板マスク組立体は、安価であり数多く反復して使用可能である内フォイルマスクで構成される。フォイルマスクは、所望の設計による穿孔を備えた平板の磁性材料製である。外マスクは内マスクを被覆し、熱付加を取ることで内マスクを保護するので、フォイルマスクは歪曲しない。外マスクの開口部は、穿孔を有する内マスクの領域を露出する。フレームは、マスクキャリア503上の内マスクおよび外マスクを保持する。基板キャリア505内に埋め込まれたマグネットは、内フォイルマスクが基板と接触するように引き込む。
各基板サポート、例えば機械的または静電チャックなどの、517は、単一の基板を支持する。個別のチャック517は、同一のシステムが様々なサイズおよび型の基板を処理するために使用されることができるように、種々の型および/またはサイズの基板を支持するために変更されてもよい。この実施形態では、チャック517は、引き込み可能であり、チャックの上の基板のアライメントを規定する基板アライメントピン519を有する。またこの実施形態において、アライメントを可能にする設定は、アライメントピン519に対して基板を押し、そしてスリット512から引き込む、引き込み可能なピンを収容するスリット512からなる。これにより、マスクが基板にアライメントされるように、基板とマスクとが基板キャリアにアライメントされることが可能になる。
ここまでに記載されてきたシステムは、理解されるように、製造するのに安価であり、そして、例えば太陽電池、タッチスクリーンなどの様々な基板の効率的な真空プロセスを提供する。該システムは、ダブルまたはシングルエンドの装着および取外しで、つまり、1つの側からの基板の装着および取外しか、または、1つの側から基板を装着し対向する側から取り外すことで、構成されてもよい。基板の取扱いは真空ではおこなわれない。システムはモジュールであり、必要とされる数の真空プロセスチャンバがロードロックの入力と出力との間に設置されてもよい。真空チャンバは、真空内で、ほとんど部品を持たない単純な設計である。また真空チャンバは水平または垂直方向で設置されてもよい。例えば、太陽電池の工程では、システムは水平方向で基板を処理してもよいが、一方で、タッチスクリーンでは、基板は垂直方向に処理されてもよい。いずれにせよ、大気環境における装着、取外し、および搬送は、水平方向の基板でおこなわれる。例えばスパッタリングソースなどのプロセスソースが、基板の上および/または下に設置されてもよい。該システムでは、通過または静止プロセス、つまり、真空プロセス中に静的であるか、または動作中である基板と共に、処理が可能である。チャンバは、スパッタリングソース、ヒータ、注入ビームソース、イオンエッチングソースなどを収容してもよい。
真空チャンバは、ソーラーへの適用において、低エネルギー注入装置を含んでもよい(例えば、15KV未満)。例えば、PERC、IBC、またはSEなどの特定の太陽電池の設計では、マスク装置が用いられ、マスキングをした注入をおこなってもよい。また、テクスチャエッチングは、イオンエッチングソースまたはレーザアシストエッチングを用いて、マスクあり、またはなしでおこなわれてもよい。ポイントコンタクトセルでは、コンタクトにアライメントする多数の穴を備えるマスクが使用されてもよい。また、厚い金属層は、いくつかのPVDチャンバを連続的にアライメントし、連続して重ねて層を形成することによって形成されてもよい。
チャンバは、タッチパネルへの適用において、PVDソースを用いてコールドおよび/またはホットITO層を堆積するために使用されてもよい。プロセスは、各キャリアに横方向に配置されたいくつかの、例えば3つのタッチパネルと、より高いスループットであるがより単純な取扱いにするために、各チャンバ内に共に配置されるいくつかの、例えば2つのキャリアと共におこなわれてもよい。同一のシステムは、いずれの内部再構成もなく、パッドまたは携帯電話のサイズのガラス用のタッチスクリーンを取り扱うことができる。単純に、適切なキャリアが構成されて、システム全体は同じままになる。繰り返しになるが、基板の取扱いは真空内ではおこなわれない。
すべての型およびサイズの基板において、取扱いとプロセス操作とは同様であってよい。空のキャリアは、装着のためにキャリア帰着エレベータから移動する。マスクが使用される場合、マスクは取り除かれてエレベータに留まる。基板は大気環境でキャリア上に装着される。キャリアはエレベータに戻り、マスクは基板の上に配置される。そして、キャリアはロードロック内に移動する。真空内において、キャリア搬送は、チャンバ壁に配置され、大気または真空環境であるチャンバの外側から駆動される、単純な磁気車輪を介する。チャンバは、隔絶のために弁を有してもよく、プロセスのために基板の下の引出しの上または中にソースを有してもよい。基板は、システムの取外し部で取り除かれるか、または装着部、つまりシステムの入口側へ戻るためにキャリア上に留まってもよい。キャリアは、システムのプロセス部からシステムの装着部へと、単純なコンベアベルトで戻る。単純なピンコンベアは、装着および取外しステーションへの、あるいは、からの、キャリアを上昇または降下させる。
図6A〜図6Cは、真空チャンバが、異なるサイズおよび構成の種々のプロセスソースにどのように適合されるかを表す3つの実施形態を示す。図6A〜図6Cの実施例では、基板が幅に対して3つ配置されることが想定されているが、当然のこととして、それ以上または以下の基板がキャリアの幅方向に配置されてもよい。また、図6A〜図6Cにおいて、プロセスチャンバは、同時プロセスのためにいくつかのキャリア、例えば2つまたは3つのキャリアを収容可能であることが想定される。図6A〜図6Cに示されるソースは、例えばPVD、エッチング、注入などの、任意のプロセスソースであってよい。
図6Aは一実施形態を示し、単一のソース601がチャンバ600上に設けられる。この単一のソースは、チャンバ600の内部に配置されるすべての基板の処理に用いられる。ソース601は、すべての基板を同時に被覆する長さおよび/または幅を有してもよい。一部のソースにおいては、このような大きなサイズで単一のソースを構成することは、非常に複雑であるか、または高価である。例えば、ソース601がスパッタリングソースである場合、ターゲットは著しく大きく製作されなければならず、これは高価で複雑であり、そして、あまり利用されなくなる。ゆえに、図6Bと図6Cとの実施形態に示される、いくつかのより小さいソースが用いられる。図6Bの実施形態において、各々のソース603A〜603Cは、単一の基板のみを被覆するのに十分な幅を有するが、長さ方向で、つまり基板の進行方向で、1つを超える基板を被覆してもよい。各ソースが各キャリア上の基板のうちの1つのみを被覆するように、各ソースを互い違いにすることよって、すべての基板が処理されてもよい。このような配置は通過プロセスに特に適する。一方、図6Cの実施形態では、ソース606A〜606Cの各々は、1つのキャリア上の、つまり、基板の進行方向と直交する方向で、すべての基板を被覆するのに十分な幅を有するが、チャンバ内に位置するすべての基板を被覆するには細すぎる。実際に、いくつかの実施形態では、ソース606A〜606Cの各々は、1つの基板よりもさらに細い。このような配置は、通過または静止プロセスに等しく適する。
上述の実施形態では、いくつかの基板キャリアを共に収容し処理するためにサイズを定められた真空ハウジングを備える真空プロセスチャンバが提供される。また、ハウジングは、いくつかのプロセスソースを共に支持するように構成される。プロセスソースは、例えばスパッタリングソースなどであってよく、これは基板キャリアによって保持されるすべての基板を横断するのに十分な長さを有する細いソースであってよいが、キャリア上に位置する基板の幅より狭くともよい。いくつかのそのようなソースは、キャリアの進行方向で、チャンバの長さ全体または部分上に、後ろを合わせて配置されてもよい。チャンバは、キャリアをチャンバ内部で搬送するために、対向する2つの側に配置されるいくつかのシャフトを有する。各シャフトは、モータによって動作させる可撓性ベルトによって回転される。また、各シャフトは、その上に交互の極性順番で配置される、つまり、1つの車輪がS極に磁化された外側円周とN極に磁化された内側径とを有し、一方で隣接する車輪はN極に磁化された外側円周とS極に磁化された内側径とを有するという、いくつかの磁気車輪を有する。また、チャンバは、入口開口部を有する入口側壁と、入口側壁と対向し、出口開口部を有する出口側壁とを有し、入口および出口開口部を通過する基板キャリアを駆動するため、磁気車輪装置は入口側壁内に配置され、入口開口部内に突出し、そして磁気車輪装置は出口側壁内に配置され、出口開口部内に突き出す。
本開示のシステムは直線的システムであり、チャンバは直線的に配置され、基板キャリアが1つの側からシステムに進入し、直線状にすべてのチャンバを横断し、対向する側でシステムを退出するようにして、1つのチャンバは次のチャンバと連結される。キャリアは、1つのチャンバから、チャンバを隔てるゲート弁を介して次のチャンバへと直接的に移動する。キャリアは、システムの真空環境を退出すると、エレベータに進入し、帰着コンベアへと垂直に移動し、帰着コンベアはキャリアをシステムの入口側へと水平に搬送して戻し、そして、キャリアは別のエレベータに進入し、新しい基板を装着するために垂直に移動し、そして再びシステムの真空環境へと進入する。キャリアは、大気環境内で搬送されるとき、水平方向に保持される。しかし、ひとつの実施形態では、キャリアは、真空環境に進入するとき、基板が垂直方向に保持されながら処理されるように垂直方向に回転させられる。
システムは、システムの入口側に配置される装着および取外しステーションを有してもよい。装着および取外しシステムは、回転軸の各側に2列ずつ、4列のチャックが配置される回転構造を有する。回転軸の各側で、1列のチャックはプロセス後の基板を取り外すように構成され、1列のチャックは新しい基板を装着するように構成される。回転構造は垂直に動作するように構成され、降下位置を取るときに該構造は基板を取り上げ、上昇位置を取るときに該構造は180度回転する。また、該構造が降下位置にあるとき、回転軸の各側では、一方の列のチャックが基板を取り上げ、他方の列のチャックが基板を置く、つまり放す。一実施形態では、2つのコンベアがシステムへの入口にわたって設けられ、1つのコンベアは新しい基板を送達し、一方で他方のコンベアはプロセス後の基板を取り除く。回転構造は、降下位置で、一方の列のチャックが新しい基板を送達するコンベアとアライメントされ、他方の列のチャックがプロセス後の基板を取り除くコンベアとアライメントされるように構成される。それと共に、回転軸のもう一方の側では、一方の列のチャックが空のキャリアとアライメントされ、他方の列のチャックがプロセス後の基板を保持するキャリアとアライメントされる。
いくつかの実施形態は、基板に電位を適用するように提供される。具体的には、各キャリアは導電性ストリップを含み、導電性ストリップは、キャリアがプロセスチャンバに進入するとき、細長いコンタクトブラシと、導電性ストリップを細長いコンタクトブラシに対して押圧するように構成されるコンフォーマル絶縁ばねとを含む摺動コンタクトへ挿入される。例えばカプトンストリップなどの絶縁ばねは、導電性ストリップをキャリアに取り付けるために用いられてもよい。
基板の処理にマスクの使用が必要であるとき、マスクは各基板の上に個別に配置されるか、または1つのマスクが1つのキャリアのすべての基板を共に覆うように形成されてもよい。マスクは、例えばマグネットなどを用いてその場所に保持されてもよい。しかし、正確な処理のためにマスクは著しく薄く形成される必要があり、結果的に、プロセス中の熱応力により変形し得る。加えて、薄いマスクは堆積物をすぐに採集し、その堆積物はマスクの正確な配置とマスキングとを妨げ得る。そのため、以下に開示される実施形態による2重マスク配置を用いることは有利である。
図7A〜図7Eは、様々な実施形態による2重マスク配置を有する複数ウエハのキャリアを表示する。図7Aは2重マスク配置を備える複数ウエハのキャリアを図示し、マスクは低い位置に配置されて、内マスクはウエハと密着して物理的に接触する。図7Bは2重マスク配置を備える複数ウエハのキャリアを図示し、マスクは上昇した位置に配置されてウエハの交換を可能にする。図7Cは2重マスク配置を備える複数ウエハのキャリアを図示し、ウエハリフターはウエハを装着か取外しの少なくとも一方をおこなうために含まれる。図7Dは2重マスク配置を備える複数ウエハのキャリアの部分的な断面図を示し、マスク配置およびウエハリフターは上昇した位置にある。そして、図7Eは2重マスク配置を備える複数ウエハのキャリアの部分的な断面図を示し、マスク配置およびウエハリフターは低い位置にある。
図7Aを参照すると、キャリアサポート700としても言及される複数ウエハのキャリアは、例えばセラミック製などのサセプタフレームまたはバー710によって支持される、個別の3つの単一ウエハのキャリアまたはサセプタ705を有する。各単一ウエハのキャリア705は2重マスク配置と共に単一のウエハを保持するために構成される。図7Aでは、2重マスク配置は低い位置にあるが、キャリアの構成を表すためにいずれのキャリアにもウエハが配置されていない。図7Bでは、2重マスク配置は上昇位置で示され、ここでもまたいずれのキャリアにもウエハはない。図7A〜図7Eの実施形態においては、リフター715は2重マスク配置を上昇および降下させるために用いられるが、費用を低減し簡便化するために、リフター715は省いてもよく、2重マスク配置は手動で上昇させてもよい。搬送レール725はフレーム710の各側に設けられて、システム全体にキャリア700を搬送可能にする。
各単一ウエハのキャリア705は、ウエハの縁を掛けて支持するための凹部735を備える起立フレーム732を有する基部730(図7Bにおいて可視可能)を有する。フレーム732を備える基部730は、破損したウエハの破片を受けるのに有用である、掛けられたウエハの下のポケット740を形成する。一部の実施形態では、フレーム732は基部730から分離可能である。外マスク745は、フレーム732を被覆し、そして内マスクの縁を被覆するが、ウエハに対応する内マスクの中心部分を露出するようにフレーム732上に設置されて構成される。これは図8の実施形態の断面図によって例示される。
図8において、基部またはサセプタ805は、凹部832を備える起立フレーム830を有し、凹部832はウエハ820をその縁で支持する。フレーム830を備える基部805はポケット840を形成し、ウエハはポケットの上部に掛けられる。マグネット434一式は起立フレーム830の内部に位置し、ウエハ820の縁を包囲する。一部の実施形態において、特に高温の操作では、マグネット834はサマリウムコバルト(SmCo)製でもよい。内マスク850は、起立フレーム830とウエハ820との上に位置し、ウエハと物理的に接触するように、マグネット834によって位置を保持される。外マスク845は、内マスク850の上に配置されて内マスク850と物理的に接触し、ウエハを処理するように設計された内マスクの領域を除いて、内マスク850の縁を覆う。外マスク945の実施例は、図9において、折り曲げられたアルミニウムのシートからなる例で示されており、この実施例は端部シャントの分離プロセス用であることから、内マスクは小さい周縁部952を除いて外マスクにより被覆される。端部シャントの分離用の内マスク750の実施例は図10に示されており、これはウエハのサイズよりわずかに小さい、例えば1〜2mm小さいことを除外すると、基本的にウエハのようなサイズおよび形状の開口部を有する金属平板である。図8の実施形態では、マスクフレーム836はキャリアの内マスクおよび外マスクの支持と取外しとを可能にするように設けられる。このような構成では、外マスクは、マスクフレーム836と内マスク850との間に挟まれる。
図8Aは別の実施形態を示し、これは例えばウエハの後ろにコンタクトパターンを形成するために用いられてもよい。この実施形態では、サセプタはその表面全体上でウエハの支持をするための上部プラットフォームを形成する。マグネット834は、サセプタの上部表面下に、サセプタの全領域にわたって埋め込まれる。内マスク850はウエハ820の全表面を被覆し、コンタクトの設計に従って複数の穴を有する。
図7A〜図7Eに戻ると、リフター715は、内マスクと共に外マスクを上昇させるために使用されてもよい。また、ウエハリフター752はフレーム730からウエハを持ち上げて外すために用いられ、処理のためにロボットアームを用いて新しいウエハと交換されてもよい。しかしながら、リフター715および752は省略されてもよく、マスクの上昇操作およびウエハの交換は代わりに手動でおこなわれてもよい。
図8を参照して記載された上述の実施形態では、キャリアは、ウエハが引っ掛かるようにしてウエハをその周縁部上で支持する。ウエハの下に形成されるポケットは破損したウエハの破片を捉え、堆積材の回り込みを防ぐ。一方で、図8Aの実施形態では、ウエハはその表面全体にわたって支持される。マスク組立体は、スパッタリングまたは他の形態のプロセスのために位置を低くされ、そしてウエハの装着と取外しとをするため、手動でまたは機械的に持ち上げられる。キャリア上のマグネット一式は、内マスクの配置と、内マスクのウエハとのしっかりとした接触とを確実にするのに役立つ。幾度もの使用後、外マスクと内マスクは交換されてもよいが、キャリア組立体のその他は再使用が可能である。マスク組立体の側棒としても言及されるフレーム810は、アルミナまたはチタンなどの低熱膨張材から製造されるとよい。
上述の実施形態によると、内マスクは、基板との、間隙なく密着した接触を確立する。外マスクは、内マスク、キャリアおよびフレームを堆積材から保護する。提示される実施形態では、外マスクと内マスクとの開口部は略正方形状であり、端部シャントの分離プロセス中の単結晶太陽電池への適用に適している。その他のプロセス中には内マスクは所定の開口部配置を有するが、外マスクは略正方形状開口部を有する。略正方形は、ウエハが切り取られる円形インゴットに従って角が切り取られた正方形である。自明のことであるが、正方形の多結晶ウエハが用いられる場合は、外マスクと内マスクとの開口部も正方形である。
図11は単一のウエハキャリア1105の実施形態を示す。ウエハは、その縁部で凹部1132に載っている。破線で示されるマグネット1134は、ウエハの全周囲のキャリア内部に設けられる。アライメントピン1160は外マスクをキャリア1105にアライメントするために用いられる。外マスクの実施形態は、下側からの視点で図12に示される。外マスク1245は、キャリア1205のアライメントピン1260に対応するアライメント穴または凹部1262を有する。
図13は、外マスクと内マスクとを保持し、マスクをサセプタに固定するために用いられる上部フレーム1336の実施形態を示す。上部フレーム1336は、例えば、2つの横方向バー1364によって共に保持される2つの縦方向バー1362からなる。外マスクはポケット1366の内部に保持される。アライメント穴1368は上部フレームをサセプタにアライメントするために設けられる。
図14は、例えばウエハ上に複数のコンタクトを構成するために設計された穴パターンを備える内マスクの実施例を示す。このような内マスクは図15に示されるサセプタとともに用いられてもよく、ここでマグネット1534はウエハの表面下全域にわたって分布する。マグネットは交互の極性に方向づけられる。
上部または外マスクは、薄い、たとえば約0.03インチのアルミニウム、鋼またはその他の類似の材料から製造されてもよく、基板キャリアと連結するように構成される。内マスクは非常に薄い、たとえば約0.001〜0.003インチの鋼平板、またはその他の磁性材料から製造され、外マスク内部に入れ子になって収まるように構成される。
さらなる実施形態によると、プロセス中にウエハを支持するための装置は、起立フレームを有するウエハキャリアまたはサセプタを含み、前記起立フレームはウエハの周囲でウエハを支持し、ウエハを所定の位置に留めるための凹部を有し、起立フレームの上部に配置されるように構成される内マスクを含み、前記内マスクはウエハの部分をマスキングし、ウエハの残りの部分を露出するように構成された開口部配置を有し、そして、起立フレーム上に、内マスクの上部に配置されるように構成される外マスクを含み、前記外マスクは内マスクを部分的に被覆するように構成されて、提供される。上部フレームキャリアは内マスクおよび外マスクを保持し、内マスクおよび外マスクをウエハサセプタに取り付けるために用いられてもよい。
マグネットは、サセプタ内に位置し、フレームの全周囲、またはサセプタの全表面の完全に下でありウエハの直下で、N−S−N−S−Nと交互になる。外マスクおよび内マスクは、簡便で迅速な基板の装着および取外しを可能にするため、磁力のみによってフレームに保持されるように設計される。
マスク組立体は、基板をキャリアに装着するため、ウエハキャリアと支持フレームとから取り除くことが可能である。外マスクおよび内マスクは共に、マスク組立体の部分として持ち上げられる。ウエハがキャリア上のウエハポケット内に位置すると、マスク組立体はキャリア上に降下する。内マスクはウエハの上表面に重なる。キャリアフレーム内のマグネットは内マスクが基板と密着するように内マスクを引き込む。これが、ウエハ縁部上にしっかりと適合した密封を形成する。外マスクは、適合した薄い内マスク上の堆積を防ぐように設計される。上述のように、堆積プロセスは内マスクの加熱を生じさせ、マスクに反りおよびウエハとの接触のゆるみを起こす。マスクがウエハとの接触を失うと、金属膜は基板ウエハの表面上の除外領域に堆積する。ポケットとマグネットにより発生する摩擦力とが、搬送および堆積中に基板とマスクとが互いに関して移動することを防ぎ、そして外マスクは内マスク上の膜堆積を防いで、内マスクの反りを防止する。
マスク組立体は、真空キャリア交換機を用いることにより、キャリアを有するシステムから定期的に取り除かれてもよい。キャリア交換機は、キャリア搬送機構を有する持ち運びが可能な真空筐体である。これはシステムの継続的な作動を停止することなく「作動しながら」キャリアの交換を可能にする。
本発明は具体的な材料および具体的なステップの例示的実施形態によって検討されてきたが、これら具体的な実施例の変化形がおこなわれる、および/または使用されることが可能であり、そのような構成および方法は、記載され描出された実施からの理解と、そして添付の特許請求の範囲により規定される本発明の範囲から出ることなくなされる、改変を容易にする工程の検討とに従うということが当業者に理解される必要がある。

Claims (23)

  1. 真空チャンバ内で基板を処理するためのシステムであり、
    複数のキャリアと、
    前記キャリア上に基板を装着するための装着ステーションと、
    前記キャリアを前記システム全体に搬送し、前記キャリアを前記装着ステーションに戻すためのキャリア搬送システムと、
    前記キャリアを真空環境へと導入するためのロードロックチャンバ装置と、
    前記複数のキャリアを共に収容し、前記複数のキャリア上に配置される基板を共に処理するために、サイズを定められ、そして構成され、前記ロードロックチャンバ装置から前記複数のキャリアを受け取る、少なくとも1つの真空プロセスチャンバと、を含み、
    前記キャリアのそれぞれは前記システム全体にわたって基板を支持し、搬送するように構成される、システム。
  2. 前記真空プロセスチャンバがm×nの基板のアレイを共に収容し、処理するように、前記キャリアのそれぞれは1×nの基板の線形アレイを支持するように構成され、nは1より大きい整数であり、mは前記真空プロセスチャンバ内に収容されるキャリアの数であり、そして、mは1より大きい整数である、請求項1に記載のシステム。
  3. 前記装着ステーションと前記ロードロックチャンバ装置との間に配置されるバッファステーションをさらに含み、前記バッファステーションは、前記真空プロセスチャンバ内に共に収容される数と少なくとも同じ数のキャリアを共に収容するように構成される、請求項1に記載のシステム。
  4. 前記バッファステーションは、前記キャリアを水平方向から垂直方向へと回転させるためのキャリア回転装置を含む、請求項3に記載のシステム。
  5. 前記キャリア搬送システムは、大気環境において水平方向に、そして真空環境において垂直方向に、前記キャリアを搬送する、請求項4に記載のシステム。
  6. 前記キャリア搬送システムは、プロセス完了後に前記キャリアを前記装着ステーションに戻すためのコンベアを含む、請求項1に記載のシステム。
  7. 前記コンベアは、大気環境において前記真空プロセスチャンバ上を通過する、請求項6に記載のシステム。
  8. 前記キャリア搬送システムは、複数の磁気車輪装置をさらに含み、前記キャリアのそれぞれは前記磁気車輪上に乗る磁気バーを含む、請求項6に記載のシステム。
  9. 前記複数の磁気車輪装置は複数の回転シャフトを含み、前記回転シャフトのそれぞれは、交互の磁気極性で取り付けられた複数の磁気車輪を有する、請求項8に記載のシステム。
  10. 前記回転シャフトのそれぞれは可撓性ベルトによって回転される、請求項9に記載のシステム。
  11. 前記可撓性ベルトはO−リングを含む、請求項10に記載のシステム。
  12. 前記真空プロセスチャンバは入口開口部と出口開口部とを備え、前記磁気車輪のうちのいくつかは、前記入口開口部と前記出口開口部内に配置される、請求項8に記載のシステム。
  13. 前記キャリア搬送システムは、前記装着ステーションと連結した第1のキャリアエレベータと、前記装着ステーションと対向する前記システムの端部と連結した第2のキャリアエレベータとを含む、請求項1に記載のシステム。
  14. 垂直に上昇および下降し、軸の周りを回転するように構成され、複数列のチャックを有する基板取上げ装置をさらに含み、前記基板取上げ装置は、プロセス後の基板を1つのキャリアから取り除くと共に、新しい基板を別のキャリア上に装着するようにさらに構成され、各前記チャックは基板を保持するように構成される、請求項1に記載のシステム。
  15. 前記基板取上げ装置は、プロセス後の基板を1つのコンベア上に置くと共に、新しい基板を別のコンベアから取り上げ、かつ、前記基板取上げ装置は、前記プロセス後の基板を1つのキャリアから取り除くと共に、新しい基板を別のキャリア上に装着するように構成される、請求項14に記載のシステム。
  16. 前記複数のチャックは、ベルヌーイ吸着を用いて基板を保持するように構成される複数のベルヌーイチャックを含む、請求項15に記載のシステム。
  17. 前記基板取上げ装置は、前記軸の一方の側に2列のチャックを備え、前記軸の他方の側に2列のチャックを備える、請求項15に記載のシステム。
  18. 前記キャリア搬送装置は、前記基板取上げ装置が180度回転する毎に、前記キャリアを1ステップ移動させるように構成される、請求項14に記載のシステム。
  19. プロセス後の基板を有するキャリアからマスクを取り除き、新しい基板を有する別のキャリア上に前記マスクを配置するように構成されるマスクリフターを備えるマスクリフター装置をさらに含む、請求項14に記載のシステム。
  20. 複数のマスクと、基板の装着および取外しのために前記マスクを前記キャリアから持ち上げるマスクリフターとをさらに含む、請求項1に記載のシステム。
  21. 複数のマスク組立体をさらに含み、各マスク組立体は、内マスク、外マスク、および、前記内マスクと前記外マスクとを前記キャリアのうちの1つに連結するマスクフレームを含む、請求項1に記載のシステム。
  22. 基板アライメント機構をさらに含み、前記基板アライメント機構は、
    第1のアライメントピンを備えて構成される第1の側と、前記第1の側と直交し2つのアライメントピンを備えて構成される第2の側と、前記第1の側に対向し第1のノッチを備えて構成される第3の側と、前記第2の側に対向し第2のノッチを備えて構成される第4の側とを有するチャックと、
    前記第1のノッチに進入して前記第1のアライメントピンに対して基板を押すように構成される第1のプッシュピンと、前記第2のノッチに進入して前記2つのアライメントピンに対して基板を押すように構成される第2のプッシュピンと、
    を含む、請求項1に記載のシステム。
  23. 前記ロードロックチャンバ装置は、前記真空プロセスチャンバの内部に共に収容される数と同じ数のキャリアを共に収容するように構成される、請求項1に記載のシステム。

JP2015509204A 2012-04-26 2013-04-26 真空プロセスのためのシステム構成 Active JP6231078B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261639052P 2012-04-26 2012-04-26
US61/639,052 2012-04-26
PCT/US2013/038530 WO2013163622A1 (en) 2012-04-26 2013-04-26 System architecture for vacuum processing

Publications (2)

Publication Number Publication Date
JP2015521373A true JP2015521373A (ja) 2015-07-27
JP6231078B2 JP6231078B2 (ja) 2017-11-15

Family

ID=49477432

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015509204A Active JP6231078B2 (ja) 2012-04-26 2013-04-26 真空プロセスのためのシステム構成

Country Status (10)

Country Link
US (2) US9502276B2 (ja)
EP (1) EP2852469B1 (ja)
JP (1) JP6231078B2 (ja)
KR (1) KR102072872B1 (ja)
CN (1) CN104582863B (ja)
MY (1) MY170824A (ja)
PT (1) PT2852469T (ja)
SG (1) SG11201406893XA (ja)
TW (1) TWI518832B (ja)
WO (1) WO2013163622A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9502276B2 (en) 2012-04-26 2016-11-22 Intevac, Inc. System architecture for vacuum processing
US9525099B2 (en) 2012-04-19 2016-12-20 Intevac, Inc. Dual-mask arrangement for solar cell fabrication
US9543114B2 (en) 2014-08-05 2017-01-10 Intevac, Inc. Implant masking and alignment system with rollers
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
JP2018531510A (ja) * 2015-10-01 2018-10-25 インテヴァック インコーポレイテッド 基板製造のためのウエハプレートおよびマスク器具
JP2019516867A (ja) * 2016-05-24 2019-06-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 耐プラズマコーティングを有するシャドウマスク
CN112501574A (zh) * 2020-10-27 2021-03-16 东兴华鸿光学科技有限公司 太阳镜片镀膜设备
JP2021080567A (ja) * 2021-01-28 2021-05-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 耐プラズマコーティングを有するシャドウマスク

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10679883B2 (en) * 2012-04-19 2020-06-09 Intevac, Inc. Wafer plate and mask arrangement for substrate fabrication
US9694990B2 (en) * 2012-06-14 2017-07-04 Evatec Ag Transport and handing-over arrangement for disc-shaped substrates, vacuum treatment installation and method for manufacture treated substrates
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102075527B1 (ko) * 2013-05-16 2020-02-11 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9460950B2 (en) * 2013-12-06 2016-10-04 Applied Materials, Inc. Wafer carrier for smaller wafers and wafer pieces
WO2015108432A1 (ru) * 2014-01-14 2015-07-23 Айрат Хамитович ХИСАМОВ Способ нанесения тонкопленочных покрытий и технологическая линия для его осуществления
KR102151616B1 (ko) * 2014-02-04 2020-09-03 어플라이드 머티어리얼스, 인코포레이티드 인-라인 증착 시스템 및 유기 재료를 위한 증발 소스를 작동시키기 위한 방법
SG11201606935VA (en) * 2014-02-20 2016-10-28 Intevac Inc System and method for bi-facial processing of substrates
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
EP3256619B2 (en) * 2015-02-13 2022-06-22 Oerlikon Surface Solutions AG, Pfäffikon Use of a fixture comprising magnetic means for holding rotary symmetric workpieces
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6918770B2 (ja) * 2015-07-13 2021-08-11 ブルックス オートメーション インコーポレイテッド オンザフライ方式の自動ウェハセンタリング方法および装置
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170115657A1 (en) * 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107546169A (zh) * 2016-06-27 2018-01-05 上海新昇半导体科技有限公司 基板的抓取装置及其抓取方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018048842A1 (en) * 2016-09-12 2018-03-15 Applied Materials, Inc. Semiconductor process equipment
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US20190116294A1 (en) * 2016-10-18 2019-04-18 Interdigital Vc Holdings, Inc. Method for detection of saturated pixels in an image
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
CN106544638B (zh) * 2016-12-09 2018-12-18 中国科学院半导体研究所 一种拼装型的掩模板装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN107093652B (zh) * 2017-06-23 2020-02-21 浙江光隆能源科技股份有限公司 一种双85抗pid多晶太阳能电池片的制作工艺
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN109920749A (zh) * 2017-12-12 2019-06-21 湘潭宏大真空技术股份有限公司 一种大面积玻璃基板装载机
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108385092B (zh) * 2018-03-30 2020-03-31 威海畅享海天新材料科技有限公司 一种银及银涂层的无铬钝化液及其钝化方法
CN108389821A (zh) * 2018-05-04 2018-08-10 成都华聚科技有限公司 一种晶圆与mask单独取放和精准定位机构
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7222073B2 (ja) * 2018-08-29 2023-02-14 アプライド マテリアルズ インコーポレイテッド 第1のキャリア及び第2のキャリアを搬送するための装置、基板を垂直に処理するための処理システム、及びそれらの方法
US11235013B2 (en) 2018-09-04 2022-02-01 Babak Ghalili Cannabinoid, menthol and caffeine dissolvable film compositions, devices and methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10901328B2 (en) * 2018-09-28 2021-01-26 Applied Materials, Inc. Method for fast loading substrates in a flat panel tool
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
US11756816B2 (en) 2019-07-26 2023-09-12 Applied Materials, Inc. Carrier FOUP and a method of placing a carrier
US11196360B2 (en) 2019-07-26 2021-12-07 Applied Materials, Inc. System and method for electrostatically chucking a substrate to a carrier
US10916464B1 (en) 2019-07-26 2021-02-09 Applied Materials, Inc. Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR102262418B1 (ko) * 2020-03-05 2021-06-08 주식회사 클레버 이차전지 셀의 폴딩 공정용 이차전지 셀 이송 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2022002385A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Apparatus for moving a substrate, deposition apparatus, and processing system
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113206029B (zh) * 2021-05-07 2022-08-12 阳江市恒昊电子科技有限公司 一种电子元器件加工用封装设备
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102021123777A1 (de) * 2021-09-14 2023-03-16 Schott Ag Anlage und Verfahren zum mehrschrittigen Verarbeiten flächiger Substrate
CN114005775A (zh) * 2021-10-29 2022-02-01 德鸿半导体设备(浙江)有限公司 基片的处理系统及方法
CN114990512B (zh) * 2022-05-19 2024-01-26 国家电投集团氢能科技发展有限公司 用于真空镀膜的挂装载具、上下料设备和连续真空镀膜系统
US20240105485A1 (en) * 2022-09-23 2024-03-28 Applied Materials, Inc. Susceptor transfer for process chamber
CN115652265B (zh) * 2022-12-08 2023-04-04 常州夸克涂层科技有限公司 多弧离子镀用输送系统及多弧离子镀膜工艺

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6351446U (ja) * 1986-09-22 1988-04-07
JP2004281617A (ja) * 2003-03-14 2004-10-07 Dainippon Screen Mfg Co Ltd 基板搬送装置およびそれを備えた基板処理装置
JP2005129959A (ja) * 2003-10-27 2005-05-19 Asml Netherlands Bv レチクルホルダおよびレチクルのアセンブリ
JP2007211270A (ja) * 2006-02-07 2007-08-23 Sumitomo Heavy Ind Ltd 成膜装置
JP2012019116A (ja) * 2010-07-09 2012-01-26 Canon Anelva Corp 基板ホルダーストッカ装置及び基板処理装置並びに該基板ホルダーストッカ装置を用いた基板ホルダー移動方法
JP4888917B2 (ja) * 2006-04-19 2012-02-29 株式会社アルバック 縦型基板搬送装置および成膜装置

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3498711A (en) 1967-10-18 1970-03-03 Texas Instruments Inc Step and repeat camera
US3775644A (en) 1972-09-20 1973-11-27 Communications Satellite Corp Adjustable microstrip substrate holder
JPS57204547A (en) 1981-06-12 1982-12-15 Hitachi Ltd Exposing method
GB2155201B (en) 1984-02-24 1988-07-13 Canon Kk An x-ray exposure apparatus
US4599970A (en) 1985-03-11 1986-07-15 Rca Corporation Apparatus for coating a selected area of the surface of an object
US4915057A (en) 1985-10-23 1990-04-10 Gte Products Corporation Apparatus and method for registration of shadow masked thin-film patterns
US4915564A (en) * 1986-04-04 1990-04-10 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4699555A (en) 1986-05-08 1987-10-13 Micrion Limited Partnership Module positioning apparatus
US4913789A (en) 1988-04-18 1990-04-03 Aung David K Sputter etching and coating process
US5567267A (en) 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5489369A (en) 1993-10-25 1996-02-06 Viratec Thin Films, Inc. Method and apparatus for thin film coating an article
US5707745A (en) 1994-12-13 1998-01-13 The Trustees Of Princeton University Multicolor organic light emitting devices
JP3732250B2 (ja) 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
TW320687B (ja) 1996-04-01 1997-11-21 Toray Industries
US6084494A (en) 1997-01-23 2000-07-04 Hewlett-Packard Company Shuntable magnetic mask support apparatus
US6083566A (en) 1998-05-26 2000-07-04 Whitesell; Andrew B. Substrate handling and processing system and method
JP2000048954A (ja) 1998-07-30 2000-02-18 Toray Ind Inc 有機電界発光素子の製造方法
US6146489A (en) 1998-11-19 2000-11-14 General Electric Company Method and apparatus for depositing scintillator material on radiation imager
JP2000173769A (ja) 1998-12-03 2000-06-23 Toray Ind Inc 有機電界発光素子の製造方法
WO2000036468A1 (en) 1998-12-14 2000-06-22 Koninklijke Philips Electronics N.V. Photomask with a mask edge provided with a ring-shaped esd protection area
TW552306B (en) 1999-03-26 2003-09-11 Anelva Corp Method of removing accumulated films from the surfaces of substrate holders in film deposition apparatus, and film deposition apparatus
JP2001049422A (ja) 1999-08-09 2001-02-20 Hitachi Ltd メタルマスクの基板への保持固定構造、保持固定治具、その補助具、及びトレイ
US6532975B1 (en) 1999-08-13 2003-03-18 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2001110567A (ja) 1999-10-08 2001-04-20 Toray Ind Inc 有機電界発光装置の製造方法
JP4453884B2 (ja) 1999-11-24 2010-04-21 大日本印刷株式会社 スパッタ用メタルマスクおよびカラーフィルタの製造方法
JP2001203079A (ja) 2000-01-18 2001-07-27 Toray Ind Inc 有機電界発光装置の製造方法
JP2001247961A (ja) 2000-03-06 2001-09-14 Casio Comput Co Ltd 蒸着用スクリーンマスク、蒸着方法及び有機el素子の製造方法
JP2002008859A (ja) 2000-06-16 2002-01-11 Sony Corp パターン形成装置、パターン形成方法、有機電界発光素子ディスプレイの製造装置及び製造方法
JP2002009098A (ja) 2000-06-16 2002-01-11 Sony Corp パターン形成装置、パターン形成方法、有機電界発光素子ディスプレイの製造装置及び製造方法
CN1205347C (zh) 2000-11-21 2005-06-08 日本冶金工业株式会社 具有良好蚀刻加工性的荫罩用Fe-Ni合金材料
US6895294B2 (en) 2000-12-04 2005-05-17 Freescale Semiconductor, Inc. Assembly comprising a plurality of mask containers, manufacturing system for manufacturing semiconductor devices, and method
JP4704605B2 (ja) 2001-05-23 2011-06-15 淳二 城戸 連続蒸着装置、蒸着装置及び蒸着方法
JP4078813B2 (ja) 2001-06-12 2008-04-23 ソニー株式会社 成膜装置および成膜方法
US6475287B1 (en) 2001-06-27 2002-11-05 Eastman Kodak Company Alignment device which facilitates deposition of organic material through a deposition mask
US8796589B2 (en) 2001-07-15 2014-08-05 Applied Materials, Inc. Processing system with the dual end-effector handling
KR100848972B1 (ko) 2001-08-24 2008-07-30 다이니폰 인사츠 가부시키가이샤 진공증착용 다면부착 마스크장치
US6716656B2 (en) 2001-09-04 2004-04-06 The Trustees Of Princeton University Self-aligned hybrid deposition
US6589382B2 (en) 2001-11-26 2003-07-08 Eastman Kodak Company Aligning mask segments to provide a stitched mask for producing OLED devices
US6749690B2 (en) 2001-12-10 2004-06-15 Eastman Kodak Company Aligning mask segments to provide an assembled mask for producing OLED devices
NL1020633C2 (nl) * 2002-05-21 2003-11-24 Otb Group Bv Samenstel voor het behandelen van substraten.
KR100838065B1 (ko) 2002-05-31 2008-06-16 삼성에스디아이 주식회사 박막증착기용 고정장치와 이를 이용한 고정방법
US6955726B2 (en) 2002-06-03 2005-10-18 Samsung Sdi Co., Ltd. Mask and mask frame assembly for evaporation
JP3983113B2 (ja) 2002-06-20 2007-09-26 Tdk株式会社 円板状基板用成膜装置に対する基板の受け渡し方法、基板受け渡しシステム、および当該方法を用いたディスク状記録媒体の製造方法
JP4072422B2 (ja) 2002-11-22 2008-04-09 三星エスディアイ株式会社 蒸着用マスク構造体とその製造方法、及びこれを用いた有機el素子の製造方法
JP4380319B2 (ja) 2002-12-19 2009-12-09 ソニー株式会社 蒸着装置および有機エレクトロルミネッセンス素子の製造方法
US7578647B2 (en) 2003-01-27 2009-08-25 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
JP4552146B2 (ja) 2003-08-07 2010-09-29 株式会社ニコン 露光方法及び露光装置、ステージ装置、並びにデバイス製造方法
KR101003699B1 (ko) 2003-08-11 2010-12-23 주성엔지니어링(주) 섀도우 프레임을 포함하는 액정표시장치용 증착장치 및 그의 동작방법
TWI288305B (en) * 2003-10-27 2007-10-11 Asml Netherlands Bv Assembly of a reticle holder and a reticle
JP4435541B2 (ja) * 2003-11-07 2010-03-17 株式会社カネカ Cvd装置及びcvd方法
JP4331707B2 (ja) 2004-12-16 2009-09-16 三星モバイルディスプレイ株式會社 整列システム、垂直型トレイ移送装置及びこれを具備した蒸着装置
KR100687502B1 (ko) * 2005-02-23 2007-02-27 세메스 주식회사 증착 장치 및 상기 장치에서 마스크를 회수하는 방법.
DE502005003731D1 (de) 2005-04-20 2008-05-29 Applied Materials Gmbh & Co Kg Magnetische Maskenhalterung
DE502005007746D1 (de) 2005-04-20 2009-09-03 Applied Materials Gmbh & Co Kg Verfahren und Vorrichtung zur Maskenpositionierung
DE102005021048A1 (de) 2005-05-06 2006-12-28 Infineon Technologies Ag Vorrichtung zum Stabilisieren eines Werkstücks bei einer Bearbeitung
CN101366111B (zh) 2006-02-01 2010-06-09 奥林巴斯株式会社 基板交换装置和基板处理装置以及基板检查装置
CN100368831C (zh) 2006-03-16 2008-02-13 曹国斌 一种采聚太阳能的掩模片及采用掩模片的太阳能装置
JP4614455B2 (ja) 2006-04-19 2011-01-19 東京エレクトロン株式会社 基板搬送処理装置
US8128333B2 (en) * 2006-11-27 2012-03-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method for semiconductor devices
JP4753313B2 (ja) 2006-12-27 2011-08-24 東京エレクトロン株式会社 基板処理装置
JP5081516B2 (ja) 2007-07-12 2012-11-28 株式会社ジャパンディスプレイイースト 蒸着方法および蒸着装置
US7847938B2 (en) 2007-10-01 2010-12-07 Maskless Lithography, Inc. Alignment system for optical lithography
JP4377453B2 (ja) 2007-11-30 2009-12-02 キヤノンアネルバ株式会社 基板処理装置、及び基板処理方法
JP5464753B2 (ja) 2007-12-06 2014-04-09 インテバック・インコーポレイテッド 基板を両面スパッタエッチングするシステム及び方法
US8138782B2 (en) * 2008-01-10 2012-03-20 Applied Materials, Inc. Photovoltaic cell solar simulator
US20100111650A1 (en) * 2008-01-31 2010-05-06 Applied Materials, Inc. Automatic substrate loading station
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US7843295B2 (en) 2008-04-04 2010-11-30 Cedar Ridge Research Llc Magnetically attachable and detachable panel system
CN102084460A (zh) 2008-05-30 2011-06-01 奥塔装置公司 用于化学气相沉积反应器的方法和设备
US8795466B2 (en) * 2008-06-14 2014-08-05 Intevac, Inc. System and method for processing substrates with detachable mask
DE102008037387A1 (de) 2008-09-24 2010-03-25 Aixtron Ag Verfahren sowie Vorrichtung zum Abscheiden lateral strukturierter Schichten mittels einer magnetisch auf einem Substrathalter gehaltenen Schattenmaske
US8309374B2 (en) * 2008-10-07 2012-11-13 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
TW201030178A (en) 2008-10-10 2010-08-16 Alta Devices Inc Concentric showerhead for vapor deposition
EP2351069A4 (en) 2008-10-10 2014-06-04 Alta Devices Inc CHEMICAL GAS PHASE DEPOSITION WITH CONTINUOUS INTRODUCTION
JP5134495B2 (ja) * 2008-10-16 2013-01-30 東京エレクトロン株式会社 処理装置及び処理方法
WO2010047101A1 (ja) 2008-10-21 2010-04-29 株式会社アルバック マスク及びマスクを用いた成膜方法
KR101202346B1 (ko) 2009-04-16 2012-11-16 삼성디스플레이 주식회사 박막 증착용 마스크 프레임 조립체, 그 제조 방법 및 유기 발광 표시 장치의 제조 방법
ITUD20090214A1 (it) 2009-11-24 2011-05-25 Applied Materials Inc Effettore d'estremita' per la manipolazione di substrati
WO2011024853A1 (ja) 2009-08-26 2011-03-03 キヤノンアネルバ株式会社 成膜装置
JP2011049507A (ja) * 2009-08-29 2011-03-10 Tokyo Electron Ltd ロードロック装置及び処理システム
KR101206250B1 (ko) 2009-10-13 2012-11-28 주식회사 엘지화학 식각 마스크 패턴 형성용 페이스트 및 이의 스크린 인쇄법을 이용한 실리콘 태양전지의 제조방법
US20110141448A1 (en) 2009-11-27 2011-06-16 Nikon Corporation Substrate carrier device, substrate carrying method, substrate supporting member, substrate holding device, exposure apparatus, exposure method and device manufacturing method
KR101110268B1 (ko) * 2010-04-30 2012-02-16 삼성전자주식회사 로터리 유니온을 구동하는 공압 공급관의 꼬임을 방지하는 화학 기계식 연마시스템
KR101152598B1 (ko) * 2010-05-31 2012-06-04 주식회사 테스 기판처리장치
EP2423350B1 (en) 2010-08-27 2013-07-31 Applied Materials, Inc. Carrier for a substrate and a method for assembling the same
US9837294B2 (en) * 2011-09-16 2017-12-05 Persimmon Technologies Corporation Wafer transport system
US8378318B1 (en) 2011-11-18 2013-02-19 Varian Semiconductor Equipment Associates, Inc. Fixed mask design improvements
AU2013208114B2 (en) 2012-01-10 2014-10-30 Hzo, Inc. Masks for use in applying protective coatings to electronic assemblies, masked electronic assemblies and associated methods
SG10201608512QA (en) 2012-04-19 2016-12-29 Intevac Inc Dual-mask arrangement for solar cell fabrication
JP6231078B2 (ja) 2012-04-26 2017-11-15 インテヴァック インコーポレイテッド 真空プロセスのためのシステム構成
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
US9082799B2 (en) 2012-09-20 2015-07-14 Varian Semiconductor Equipment Associates, Inc. System and method for 2D workpiece alignment
SG11201606935VA (en) 2014-02-20 2016-10-28 Intevac Inc System and method for bi-facial processing of substrates
MY183097A (en) 2014-08-05 2021-02-13 Intevac Inc Implant masking and alignment system with rollers

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6351446U (ja) * 1986-09-22 1988-04-07
JP2004281617A (ja) * 2003-03-14 2004-10-07 Dainippon Screen Mfg Co Ltd 基板搬送装置およびそれを備えた基板処理装置
JP2005129959A (ja) * 2003-10-27 2005-05-19 Asml Netherlands Bv レチクルホルダおよびレチクルのアセンブリ
JP2007211270A (ja) * 2006-02-07 2007-08-23 Sumitomo Heavy Ind Ltd 成膜装置
JP4888917B2 (ja) * 2006-04-19 2012-02-29 株式会社アルバック 縦型基板搬送装置および成膜装置
JP2012019116A (ja) * 2010-07-09 2012-01-26 Canon Anelva Corp 基板ホルダーストッカ装置及び基板処理装置並びに該基板ホルダーストッカ装置を用いた基板ホルダー移動方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9525099B2 (en) 2012-04-19 2016-12-20 Intevac, Inc. Dual-mask arrangement for solar cell fabrication
US9502276B2 (en) 2012-04-26 2016-11-22 Intevac, Inc. System architecture for vacuum processing
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
US9543114B2 (en) 2014-08-05 2017-01-10 Intevac, Inc. Implant masking and alignment system with rollers
JP2018531510A (ja) * 2015-10-01 2018-10-25 インテヴァック インコーポレイテッド 基板製造のためのウエハプレートおよびマスク器具
JP2019516867A (ja) * 2016-05-24 2019-06-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 耐プラズマコーティングを有するシャドウマスク
US11566322B2 (en) 2016-05-24 2023-01-31 Applied Materials, Inc. Shadow mask with plasma resistant coating
CN112501574A (zh) * 2020-10-27 2021-03-16 东兴华鸿光学科技有限公司 太阳镜片镀膜设备
JP2021080567A (ja) * 2021-01-28 2021-05-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 耐プラズマコーティングを有するシャドウマスク

Also Published As

Publication number Publication date
SG11201406893XA (en) 2014-11-27
KR102072872B1 (ko) 2020-02-03
US20170025300A1 (en) 2017-01-26
PT2852469T (pt) 2019-07-31
EP2852469A4 (en) 2015-09-02
TW201401412A (zh) 2014-01-01
KR20150051935A (ko) 2015-05-13
JP6231078B2 (ja) 2017-11-15
CN104582863B (zh) 2016-09-21
MY170824A (en) 2019-09-04
TWI518832B (zh) 2016-01-21
US9502276B2 (en) 2016-11-22
CN104582863A (zh) 2015-04-29
EP2852469A1 (en) 2015-04-01
US10115617B2 (en) 2018-10-30
EP2852469B1 (en) 2019-04-24
WO2013163622A1 (en) 2013-10-31
US20130287526A1 (en) 2013-10-31

Similar Documents

Publication Publication Date Title
JP6231078B2 (ja) 真空プロセスのためのシステム構成
US10679883B2 (en) Wafer plate and mask arrangement for substrate fabrication
US10062600B2 (en) System and method for bi-facial processing of substrates
EP3108030B1 (en) System and method for bi-facial processing of substrates
CN108290694B (zh) 用于衬底制造的晶圆板和掩模装置
JP2018531510A6 (ja) 基板製造のためのウエハプレートおよびマスク器具
JP6243898B2 (ja) 太陽電池製造のための2重マスク装置
TWI678755B (zh) 於一真空系統處理一遮罩裝置之方法、用以於一真空系統中處理一遮罩裝置之遮罩處理組件、用以沈積一材料於一基板上之真空系統及於一真空系統中處理數個遮罩裝置之方法
US10854497B2 (en) Apparatus and method of selective turning over a row of substrates in an array of substrates in a processing system
KR101760667B1 (ko) 고생산성 박막증착이 가능한 원자층 증착 시스템

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150327

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20150420

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7426

Effective date: 20150420

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20150420

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160401

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170614

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170906

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170919

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171018

R150 Certificate of patent or registration of utility model

Ref document number: 6231078

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250