CN104582863A - 用于真空处理的系统结构 - Google Patents
用于真空处理的系统结构 Download PDFInfo
- Publication number
- CN104582863A CN104582863A CN201380033430.7A CN201380033430A CN104582863A CN 104582863 A CN104582863 A CN 104582863A CN 201380033430 A CN201380033430 A CN 201380033430A CN 104582863 A CN104582863 A CN 104582863A
- Authority
- CN
- China
- Prior art keywords
- carrier
- substrate
- mask
- wafer
- conveyer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67703—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
- H01L21/67709—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67173—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67703—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
- H01L21/67736—Loading to or unloading from a conveyor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67754—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67763—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
- H01L21/67769—Storage means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67763—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
- H01L21/67775—Docking arrangements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67763—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
- H01L21/67778—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67763—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
- H01L21/67778—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
- H01L21/67781—Batch transfer of wafers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68742—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68785—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Chemical Vapour Deposition (AREA)
- Physical Vapour Deposition (AREA)
Abstract
用于在等离子室中处理基片的系统,使得所有的基片传送及装载/卸载操作是在大气环境中被执行,但处理是在真空环境中被执行。基片在承载器上贯穿该系统被传送。该系统的各室被线性地布置,使得各承载器从一个室直接地移动到下一个室。被放置在该系统的各室上方或下方的传送机,在处理完成之后将各承载器返回到系统的入口区域。基片的装载和卸载可以在系统的一侧处被执行,或者装载可以在入口侧处完成而卸载在出口侧处完成。
Description
相关申请
本申请要求享有2012年4月26日提交的美国临时申请No.61/639,052的优先权益,其全部公开内容通过引用被合并于此。
技术领域
本申请涉及用于真空处理的系统,诸如在太阳能电池、平板显示器、触摸屏等的制造中所使用的系统。
背景技术
用于制造半导体IC、太阳能电池、触摸屏等的多种系统在本领域中是已知的。这些系统的工艺是在真空中进行的,并且包括,例如物理气相沉积(PVD)、化学气相沉积(CVD)、离子注入、蚀刻等。对于这些系统,存在两种基本途径:单一基片处理或批处理。在单一晶片处理中,在处理期间仅单一基片存在于室内。在批处理中,在处理期间若干基片存在于室内。单一基片处理能实现高水平地控制在室内的该工艺和在基片上制造的作为结果的膜或结构,但导致相对低的生产量。相反地,批处理导致对处理条件和作为结果的膜或结构的较少控制,但提供了高得多的生产量。
批处理(诸如在用于制造太阳能电池、触控面板等的系统中所采用的)通常通过以n×m的二维阵列的基片来传送和制造基片而被执行。例如,在2005年,由Roth & Rau开发的用于太阳能制造的PECVD系统利用5×5晶片的托盘以用于报道的1200晶片/小时的生产量。然而,其它的系统利用具有6×6、7×7、8×8、及更高数目的二维阵列的晶片的托盘。利用二维晶片阵列的托盘在生产量被增加的同时,对这些大托盘的操纵和装载与卸载操作变复杂。
在一些工艺中,需要施加偏压(例如,RF或DC电位)至正被处理的基片。然而,由于批系统利用的是带有基片的移动的托盘,因而难以施加该偏压。
而且,尽管一些工艺可以在基片保持水平的情况下被执行,但一些工艺可以得益于被竖直保持的基片。然而,竖直地装载和卸载基片相比于水平地装载和卸载是复杂的。
一些工艺可能需要使用掩模来阻挡基片的一些部分以免受特定的制造工艺。例如,掩模可以被用于形成触点或用于边缘排除以防止电池的分流(shunting)。也即,对于在正面和背面上具有触点的电池来说,用于形成这些触点的材料可能被沉积在晶片的边缘上并分流这些正面触点和背面触点。因此,在至少正面触点和后面触点的制造期间使用掩模来排除电池的边缘是可取的。
作为另一例证,对于硅太阳能电池的制造而言,在背表面上沉积覆层金属(blanket metal)以充当反光镜和电导体是值得期望的。该金属通常为铝,但该覆层金属可以是出于多个理由(诸如成本、传导性、可焊性、等等)而被使用的任何金属。沉积的膜厚度可以从非常薄(例如,大约10nm)直到非常厚(例如,2-3um)。然而,有必要防止覆层金属包裹在硅晶片边缘的周围,因为这将产生太阳能电池的前表面和后表面之间的阻性连接,即,分流。为防止这种连接,晶片背面边缘上的排除区域可以被形成。该排除区域的典型尺度为小于2mm宽,但优选是尽可能细地形成该排除区域。
产生该排除区域的一种方法是通过使用掩模;然而,利用掩模有着很多挑战。由于太阳能产业的高度竞争的性质,掩模必须制造起来很便宜。而且,由于太阳能制造装备的高产出量(通常每小时1500-2500件电池),掩模必须在高产量的制造中使用起来快速而容易。而且,由于掩模是被用来防止膜沉积在晶片的某些部分上的,因此其必须能够吸收和容纳沉积物积累。此外,由于膜沉积是在升高的温度下完成的,因此该掩模必须能够在升高的温度下(例如,高达350℃)正确地发挥作用,同时仍能精确地维持排除区域的宽度,同时适应因热应力而致的基片翘曲。
发明内容
下列发明内容被包括以便提供对本发明的一些方面和特征的基本理解。本发明内容不是对本发明的宽泛概述,并且同样地其不意在特别识别本发明的关键或关键性要素或描述本发明的范围。其唯一目的是作为在下面呈现的具体实施方式的前序部分而以简化的形式呈现本发明的一些概念。
本发明的各实施方式提供了一种系统结构,所述系统结构是模块化的,因为其使得能够利用不同的工艺和处理步骤,并且所述系统结构是多用途的,因为其适合于制造各种各样的装置,包括:例如,太阳能电池、平板显示器、触摸屏、等等。此外,该系统可以操纵不同类型和尺寸的基片而无需重新配置,而只是通过简单得更换所使用的基座。
该系统结构能实现从真空处理分开的基片操纵,诸如在大气环境中装载和卸载。另外,各个实施方式在自动化装置不工作或者不存在的情况下能实现手动装载和卸载,即,该系统可以在没有装载/卸载自动化装置的情况下被实现。在真空环境内,该系统能实现对基片的静止式或经过式处理。在某些实施方式中,利用被驱动的阀,真空隔离被设置在每个处理室之间。各个实施方式提供了对基片的静电夹持,以便能实现有效的冷却并防止基片的意外移动。在其它的实施方式中,例如利用具有释放机构的弹簧加载的夹子,机械夹持能被实现,以用于装载/卸载基片。各个实施方式还能实现利用例如RF或DC偏压功率对基片进行偏压,或者能实现使基片浮动。
通过使操纵在线性阵列承载器上执行,各个实施方式能实现对基片的简化的操纵,而处理则是通过同时处理若干个线性阵列承载器而在n×m的二维阵列的基片上进行的。其它的实施方式提供了传送机构,其中基片以竖直取向被处理,但装载和卸载是在基片被水平操纵的时候被执行的。
本发明的实施方式还能实现利用掩模的基片处理,这可以通过利用双掩模装置被实现。两部分式掩蔽系统被构造用于掩蔽基片,并且包括:内掩模,其由具有孔口的平坦金属片构成,所述孔口暴露晶片的将被处理的那些部分;和,外掩模,其被构造用于放置在内掩模上面并掩蔽内掩模,所述外掩模具有尺寸和形状类似于基片尺寸和形状的敞开式切口,所述外掩模具有大于所述内掩模厚度的厚度。掩模框架可以被构造成支撑所述内掩模和外掩模,使得所述外掩模被夹在所述掩模框架和所述内掩模之间。在其中所述双掩模装置被用于边缘隔离的一个实例中,所述内掩模中的敞开式切口具有比太阳能晶片略小的尺寸,使得当所述内掩模被放置在所述晶片上时所述内掩模覆盖所述晶片的外周边缘,并且所述外掩模中的敞开式切口略大于所述内掩模中的敞开式切口。顶部框架承载器可以被用来保持所述内掩模和外掩模并将所述内掩模和外掩模固定到所述晶片基座。
装载和卸载机构被提供,其同时操纵四行基片。所述装载/卸载机构被构造用于竖直运动,其具有降下位置和升高位置。在其降下位置中,所述机构被构造成同时进行:从一个承载器移除一行处理过的基片,将一行新的基片放在空承载器上,将一行处理过的基片放在基片移除机构上,和从基片递送机构收集一行新的基片。所述基片移除机构和所述基片递送机构可以是沿相同或相反方向运动的传送带。在其升高位置中,所述机构被构造成旋转180度。
附图说明
合并于本说明书中并构成本说明书一部分的附图,举例说明了本发明的实施方式,并且与说明书一起,用来说明和例证本发明的原理。这些图意在以图表的方式示出各示例性实施方式的主要特征。这些图并不意在描绘各实际实施方式的每个特征,也不意在描绘所描绘的元件的相对尺寸,并且未按比例绘制。
图1示出了多基片处理系统的实施方式,其中传送承载器支撑着线性阵列的基片,但处理是在二维阵列的基片上被执行。
图1A示出了其中承载器在传送和处理期间保持处于水平取向的系统的实例,而图1B示出了其中承载器在传送和装载/卸载期间水平、但在处理期间竖直的实例。
图2示出了根据一个实施方式的多晶片承载器,而图2A示出了局部截面。
图2B示出了用于处理硅晶片的承载器的实例,而图2C示出了用于处理玻璃基片的承载器的实例。
图3A为根据一个实施方式的装载/卸载机构的俯视图,而图3B为其侧视图。图3C示出了用于基片对正机构的实施方式。
图4示出了可以与所公开的系统一起使用的真空处理室400的实施方式。
图5示出了用于掩模和承载器组件的实施方式。
图6A-6C示出了三个实施方式,表明了真空室如何能够被安装以变化的尺寸与构造的不同处理源。
图7A-7E示出了根据各个实施方式的具有用于双掩模的装置的多晶片承载器的视图。
图8为根据一个实施方式的框架、外掩模和内掩模的放大部分的截面,并且图8A为根据另一个实施方式的框架、外掩模和内掩模的放大部分的截面。
图9示出了外掩模的实施方式,其中内掩模嵌套在其中。
图10示出了用于在边缘隔离中使用的内掩模的实施方式。
图11示出了单晶片承载器的实施方式。
图12示出了从下侧观察的外掩模的实施方式。
图13示出了用来支撑内掩模和外掩模的顶部框架的实施方式。
图14示出了用于在晶片中产生多个孔的内掩模的实施方式。
图15示出了用于与图9的掩模一起使用的基座的实施方式。
具体实施方式
下列详细说明提供了突出了在此所要求保护的创新性处理系统的某些特征和方面的实例。各个公开的实施方式提供了其中多个基片(例如,半导体或玻璃基片)在诸如等离子体处理室的真空处理室内被同时处理的系统。而玻璃基片,诸如用于触摸屏的那些玻璃基片,不是通常所考虑的晶片,应被意识到的是,在本发明中对晶片的提及是出于理解的便利性和容易性而做出的,但玻璃基片可以被所有这些提及所替代。
图1为多基片处理系统的实施方式的俯视图,其中传送承载器支撑着线性阵列的基片,但处理是在二维阵列的基片上被执行。在图1中所示的系统100中,各基片在装载/卸载站105处,即,从系统的同一侧,被装载和被卸载。然而,应被意识到的是,该系统也可以被设计成使得装载站被设置在系统的一侧上,而卸载站被设置在系统的相反侧上。在一些实施方式中,将基片装载到承载器上和/或从承载器卸载基片可以被手动地执行,而在其它实施方式中,自动化被提供以便执行这些任务中的一者或两者。
基片被装载到定位于装载/卸载站105中的承载器上,并且这些承载器从承载器返回站110被传送。每个承载器支撑着沿与系统内部的行进方向垂直的方向的线性阵列的基片,即,以单一行布置的两个或多个基片。各承载器被从装载/卸载站105经由承载器返回站110移动到缓冲站115。各承载器被停放在缓冲站115中直至低真空装料锁气室(LVLL)120准备好接收它们。在一些实施方式中(这些实施方式将稍后被描述),该缓冲站还充当倾斜站,其中水平定向的承载器被倾斜90°以采取竖直取向。在这些实施方式中,当采取竖直取向时,使用夹子来将基片保持在位。
在适当的时候,阀112打开并且定位于缓冲站115中的承载器被传送到LVLL 120中。阀112然后被关闭并且LVLL 120被抽空至初步真空水平。此后阀113打开并且来自LVLL 120的各承载器被传送至高真空装料锁气室(HVLL)125。一旦HVLL被泵吸到其真空水平,阀114就打开并且来自HVLL 125的各承载器就被传送到处理室130中。系统可以具有线性排列的任意数目的处理室130,使得这些承载器可以经由定位在每两个处理室之间的阀从一个室被传送到下一个室。在最后的处理室的末端处定位有阀,该阀通往与在进入系统的入口处相反的装料锁气室布置,即,首先是HVLL并且然后是LVLL。此后各承载器经由阀116退出到承载器返回模块135。例如利用被定位在各处理室130上方或下方的传送机(未示出),各承载器被从返回模块135返回到承载器返回站110。
如上所述,每个承载器支撑着线性阵列的基片,这使得较易于装载和卸载基片,并且使得各承载器制造、操纵、和传送起来容易得多。然而,为使该系统具有高的生产量,每个处理室130被构造成容纳并同时处理二维阵列的基片,这些基片被定位在一个接一个地定位的若干(即,两个或多个)承载器上。为了更高的效率,在图1的特定实施方式中,缓冲站115、LVLL 120和HVLL 125均被构造成同时容纳与在处理室130内同时容纳的相同数目的承载器。例如,每个承载器可以支撑一行中的三个玻璃基片,但每个处理室被构造成同时处理两个承载器,因而处理3×2的二维阵列的基片。
根据其它的实施方式,装料锁气室和缓冲室的尺寸被确定成操纵多个承载器(例如,两个承载器),以便提供增加的泵/孔口、以及压力稳定时间。而且,缓冲室可被用来将承载器运动从站到站运动的方式转换到在处理室内的连续经过式运动的方式。例如,如果一个处理室以静止的模式处理承载器而下一个室以经过式模式处理,那么缓冲室可以被放置在这两个室之间。系统中的各承载器在处理室或模块中形成连续的承载器流,并且每个处理室/模块可以具有以首尾相接方式连续地移动经过处理源(例如,热源,PVD,蚀刻等)的5-10个承载器。
如图1中所示,系统的专用于传送、装载和卸载基片的那些部分被定位在大气环境中。另一方面,所有的处理在真空环境中被执行。在大气环境中进行传送、装载和卸载比在真空中容易得多。
图1A示出了系统的实例,该系统诸如图1中所示的系统,其中各承载器200在传送和处理期间保持处于水平取向。各承载器经由定位在处理室上方的传送机140被返回到起始点。如图1A中所示,每个承载器200支撑着在一行中线性布置的四个基片220。而且,出于解释的目的,室120的顶部零件被移除,以便暴露被同时定位在其中的六个承载器的布置。因此,根据该实施方式,尽管每个承载器支撑着四个基片,但每个室同时处理二十四个基片。
图1B示出了其中各承载器在传送和装载/卸载期间水平、但在处理期间竖直的实例。图1B的布置非常类似于图1A的布置,除了装料锁气室和处理室被竖直地翻转以便以竖直取向处理基片外。图1A和1B的两个实施方式中的装料锁气室和处理室的构造可以是相同的,除了在图1A中它们被水平地安装而在图1B中它们以它们的侧边被竖直地安装外。因此,缓冲站115和系统另一端上的缓冲站145被修改成包括提升装置,该提升装置将承载器的取向改变90°,如在缓冲站145中所示。
图2示出了根据一个实施方式的线性阵列承载器,该线性阵列承载器可以被构造用于处理硅晶片、玻璃基片、等等。如图2中所示,根据本实施方式的线性阵列承载器的构造相当简单和廉价。应被意识到的是,通过在承载器的顶部上简单地安装不同的卡盘,该承载器可以被构造用于不同数目的基片和基片尺寸。而且,应被意识到的是,每个处理室均可以被构造成同时容纳若干个承载器,从而同时处理多个承载器上的多个晶片。
图2的承载器200由简单的框架205构成,所述框架通过两个传送轨215和两个陶瓷条210形成。陶瓷条210改善了附装到其的基座(未示出)从该室的其余部分的热绝缘。每个陶瓷条210的至少一侧形成带有该传送轨215的叉形配置235,如插图标注中所示。腔245被形成在该叉形配置235中,使得陶瓷条210被允许由于热膨胀而自由地移动(由双头箭头示出),并且不在传送轨215上施加压力。
磁性驱动条240被设置在传送轨225中的每一个上,以便使得能够传送承载器贯穿该系统。这些磁性驱动条骑跨在被磁化的轮上以便传送承载器。为提高系统的清洁度,各驱动条240可以是镀镍的。由于高的加速度,该磁性配置能实现精确传送而不发生承载器的滑动。而且,该磁性配置能实现各轮的大间距,使得承载器通过磁性力被附装至各轮并且可以悬伸至大的范围以便横跨大的间隙。另外,该磁性配置能实现承载器以竖直或水平取向的任一种进行传送,因为该承载器通过磁性力被附装至各轮。
承载器接触组件250被附装至传送轨225并且与附装至该室的室接触组件252(见插图标注)配合。室接触组件具有绝缘的条260,所述绝缘的条具有埋置于其中的接触刷262。接触组件250具有导电的延伸部251(图2A),其被插在绝缘的弹簧264和绝缘的条260之间,从而被压靠在刷触点264上以便从配合的触点接收偏压电位。该偏压可以被用于例如基片偏置,基片夹持(对于静电卡盘),等等。该偏压可以是RF或DC(连续的或脉冲的)。承载器接触组件250可以被设置在承载器的一侧或两侧上。
图2A为局部截面,示出了承载器如何被传送以及其如何接收偏压功率。特别地,图2A示出了骑跨在附装至轴268的三个被磁化的轮267上的驱动条240。轴268延伸到室壁269以外,使得其从该室的内部真空环境的外部被旋转。轴268经由柔性的带(诸如,O形环)被连接至电动机,以便适应轴直径方面的变化。
图2B示出了用于处理硅晶片(例如,用于制造太阳能电池)的承载器的实例。在图2B中晶片220可以例如利用夹持电位而被夹持到基座223。升降器215可以被用来升起和降下晶片以用于装载和卸载。图2C示出了其中承载器可以被用于处理玻璃基片(诸如,触摸屏)的实施方式。在本实施方式中基片可以利用机械式弹簧加载的夹具或夹子227被保持在位。基座224可以是具有用于该弹簧加载的夹子的配置的简单托盘。
图3A和3B示出了用于基片装载和卸载机构连同承载器返回的实施方式。图3A为装载/卸载机构的俯视图,而图3B为侧视图。如图1A中所示,在完成处理之后,传送机将各承载器返回。然后承载器由升降机107降下并且被水平地传送至装载/卸载站105。如图3A和3B中所示,双重传送机(即,传送机301和303)被使用以便带来用于处理的新的基片并移除处理过的晶片。哪一个带来新的晶片以及哪一个移除处理过的晶片是相当不重要的,因为无论如何该系统都将同样精确地工作。而且,在本实施方式中,被显示为传送机301和303沿相反的方向传送基片,但当两个传送机沿相同的方向行进时可以获得相同的结果。
图3A和3B的布置支持同时操纵两个承载器。特别地,在本实施方式中处理过的基片被从一个承载器卸载,而新的基片同时被装载到另一个承载器上。此外,同时地,处理过的基片被放在已处理基片传送机上并且新的基片从新基片传送机被拾取,以便在下一个轮次中被递送到承载器。该操作被执行如下。
基片拾取机构被构造成具有两个运动:旋转运动和竖直运动。四行卡盘307被附装至基片拾取机构305。这些卡盘307可以是例如,真空卡盘、静电卡盘、等等。在本具体实例中,使用了四行伯努利(Bernoulli)卡盘,即,可以利用伯努利吸力来保持基片的卡盘。该四行卡盘以每侧上两个被定位,使得当两行卡盘被与承载器对齐时,另两行被与传送机对齐。于是,当拾取机构305处于其降下位置时,一行卡盘从承载器拾取处理过的基片,并且另一行卡盘将新的基片放在另一承载器上,同时在另一侧上,一行卡盘将处理过的基片放在一个传送机上,并且另一行卡盘从另一个传送机拾取新的基片。拾取机构305然后采取其升高位置并且旋转180度,其中同时地各承载器移动一个节距,即,具有新基片的承载器移动一步,处理过的基片被从其移除的那个承载器移动至新基片装载位置中,并且具有处理过的基片的另一个承载器移动至卸载位置中。拾取机构305然后采取其降下位置并且处理被重复。
为提供具体的实例,在图3A的快照中,承载器311具有处理过的基片,它们被拾取装置305上的一行卡盘拾取。承载器313正从拾取装置305的另一行卡盘被装载新的基片。在拾取装置305的另一侧上,一行卡盘正将处理过的基片放在传送机303上,同时另一行卡盘正从传送机301拾取新的基片。当这些动作已被完成时,拾取装置305被升起到其升高位置并且被旋转180度,如由弯曲箭头所示。同时,所有的承载器移动一步,即,承载器316移动到此前由承载器317占据的位置,此刻装载有新基片的承载器313移动到此前由承载器316占据的地点,此刻空着的承载器311移动到此前由承载器313占据的地点,并且装载有处理过的基片的承载器318移动到此前被承载器311占据的地点。此时拾取装置被降下,使得承载器311被装载有新的基片,处理过的基片被从承载器318移除,从承载器311移除的基片被放到传送机303上,并且新的基片被从传送机301拾取。拾取装置305然后被升高,并且处理重复进行。
图3A和3B的实施方式还利用了任选的掩模升降器装置321。在本实施方式中,掩模被用来在基片的表面上产生需要的图案,即,暴露基片的某些区域以用于处理,而覆盖其它区域以防止处理。承载器在掩模被放置在基片顶部上的情况下穿过系统直至其到达掩模升降器321。当带有处理过的基片的承载器到达掩模升降器时(图3A和3B中,承载器318),掩模升降器321采取其升高位置并且从承载器升起该掩模。该承载器然后可以继续行进到卸载站以便卸载其处理过的基片。同时,带有新基片的承载器(图3B中,承载器319),移动到掩模升降器装置中并且掩模升降器321采取其降下位置以便将掩模放置到新的基片上以用于处理。
如可以被意识到的,在图3A和3B的实施方式中,掩模升降器从一个承载器移除掩模并将它们放置在不同的承载器上。就是说,该掩模并不被返回到其从那里被移除的那个承载器,而是被放置在不同的承载器上。取决于系统中的承载器的设计和数目,在若干轮次之后掩模将被返回到同一承载器是可能的,但仅仅是在从另一个承载器被升起之后。反之亦然,即,取决于在役的承载器和掩模的设计和数目,每个掩模会被系统中所有的承载器所使用是可能的。就是说,系统中的每个承载器会与系统中的掩模中的每一个一起使用,其中在穿过系统的每个处理周期中,承载器将使用不同的掩模。
如插图标注中所示,承载器升降机可以通过具有两个竖直的传送机装置(承载器的每侧上有一个)被实现。每个传送机装置由一个或多个传送带333制成,该传送带由滚子336推动。提升销331被附装至带333,使得随着带333移动,这些销331接合承载器并且沿竖直方向移动该承载器(即,向上或向下,取决于升降机被定位在系统的哪侧以及承载器返回传送机被定位在处理室上方还是下方)。
图3C示出了用于基片对正机构的实施方式。根据本实施方式,卡盘345具有在一侧上的弹簧加载的对正销329和在相反侧上的切口312。旋转推动销341被构造成进入该切口312以便推动基片320抵靠该对正销329并且然后收回,如由虚线和旋转的箭头所示。值得注意地,旋转推动销341不是卡盘345或承载器的一部分并且不在系统内行进,而是静止的。而且,如果掩模被使用的话弹簧加载的对正销被压缩到降下位置。因此,包括卡盘的基片对正机构被提供,所述卡盘具有被构造有对正销的第一侧,与第一侧正交并被构造有两个对正销的第二侧,与第一侧相反并被构造有第一切口的第三侧,以及与第二侧相反并被构造有第二切口的第四侧;该对正机构还包括第一推动销,其被构造成进入第一切口以便推动基片抵靠第一对正销,和第二推动销,其被构造成进入第二切口并推动基片抵靠该两个对正销。
图4示出了可以与所公开的系统一起使用的真空处理室400的实施方式。在图4的例证中,该室的盖子与溅射源被移除以便暴露其内部构造。室400可以以水平的或竖直的取向被安装,而不需要对其构成或其构造做任何修改。该室由具有用于真空泵吸的开口422的简单箱形框架构造成。入口开口412在一个侧壁中被切割出,而出口开口413在相反的侧壁中被切割出,从而使承载器224能够进入该室、穿过整个室、并从另一侧离开该室。闸阀被设置在每个开口412和413处,尽管出于清楚性而在图4的例证中仅示出了闸阀414。
为实现承载器424在水平和竖直取向中有效和精确地传送,磁性轮402被设置在该室的相对的侧壁上。承载器具有骑跨在磁性轮402上的磁性条。在上面安装这些轮402的轴延伸到室外侧进入大气环境,其中这些轴由电动机401促动。特别地,若干电动机401被设置,每个电动机利用带(例如,O形环)促动若干轴。而且,惰轮204被设置以便横向地限制各承载器。
图4的实施方式的特征在于,各磁性轮的直径小于该室的侧壁厚度。这使得能够将磁性轮放置在入口开口和出口开口412和413内,如由轮406和407所示。将轮406和407放置在入口开口和出口开口412和413内使得能够将承载器较平滑地传送入和传送出该室,因为其将承载器在没有来自轮的支撑的情况下必须穿过的间隙减到最小。
图5示出了用于掩模和承载器组件的实施方式。从左到右沿着弯曲的箭头前进,单一基片掩模组件501被安装到支撑着若干掩模组件的掩模承载器503上;并且掩模承载器503被安装到基片承载器505上。在一个实施方式中,这些浮动的掩模组件501之间的弹簧将它们保持在位以便与设置在各基片承载器505上的导向销507接合,使得每个掩模被对齐到其各自的基片。每个单一基片掩模组件由便宜的且能够多次重复使用的内箔掩模构成。该箔掩模由具有根据期望的设计的穿孔的平坦磁性材料片制成。外掩模覆盖内掩模并通过带走热负荷而保护内掩模,使得箔掩模不发生扭曲。外掩模中的孔口暴露内掩模的具有那些穿孔的区域。框架将内掩模和外掩模保持到掩模承载器503上。埋置在基片承载器505中的磁体将该内箔掩模牵引成与基片接触。
每个基片支撑部(例如,机械或静电卡盘517)支撑着单一基片。这些单独的卡盘517可以被更换以便支撑不同类型和/或尺寸的基片,使得同一系统可被用来处理不同尺寸和类型的基片。在本实施方式中卡盘517具有可收回的基片对正销519,和用来在卡盘顶部上将基片对正的措施。在本实施方式中,能实现对正的措施包括容纳可收回的销的狭缝512,所述销推动基片抵靠对正销519并且然后收回到狭缝512之外。这允许将基片和掩模对齐到基片承载器,使得掩模被对齐到基片。
如可以被理解的,到此为止所描述的系统是制造起来廉价的并且提供对各种基片(诸如,太阳能电池,触摸屏,等等)的有效真空处理。该系统可以被构造成双端或单端装载和卸载,即,基片从一侧进行装载和卸载,或者从一侧进行装载并从相反侧进行卸载。基片操纵都不在真空中执行。系统是模块化的,因为与需要的数量一样多的真空处理室可以被安装在输入和输出装料锁气室之间。各真空室具有简单设计,其很少的部分在真空中。各真空室可以以水平或竖直取向被安装。例如,对于太阳能电池处理,该系统可以以水平取向处理基片,而对于触摸屏,基片可以以竖直取向被处理。无论如何,在大气环境中的装载、卸载和传送是以基片处于水平取向被完成的。各处理源(例如,溅射源)可以被安装在基片的上方和/或下方。该系统能进行经过式(pass-by)或静止式处理,即,基片在真空处理期间静止或移动。这些室可以容纳溅射源、加热器、注入束源、离子蚀刻源等等。
对于太阳能应用,真空室可以包括低能量离子注入机(例如,小于15KV)。对于特殊的太阳能电池设计,诸如PERC、IBC或SE,掩模装置可以被使用以便执行掩蔽的注入。而且,纹理蚀刻可以利用离子蚀刻源或激光辅助蚀刻而在有或没有掩模的情况下被执行。对于点接触式电池,具有与各触点对齐的许多孔的掩模可以被使用。而且,通过连续排列若干PVD室并且一层在一层上连续地形成复数个层,厚金属层可以被形成。
对于触控面板应用,这些室可以被用来利用PVD源沉积冷和/或热ITO层。处理是在若干(例如,三个)触控面板被横向地布置在每个承载器上、并且若干(例如,两个)承载器被同时定位在每个室内的情况下被执行,以用于较高的生产量但较简单的操纵。同一系统可以操纵用于平板电脑(pad)或手机尺寸玻璃的触摸屏,而不需要任何内部重新配置。简单地,合适的承载器被构造并且整个系统保持相同。再次,基片操纵不在真空中被执行。
操纵和处理操作对于所有类型和尺寸的基片可以是相同的。空承载器移动以便从承载器返回升降机处进行装载。如果使用掩模,那么掩模被拆下并停留在升降机处。基片在大气环境中被装载到承载器上。承载器移动回到升降机并且掩模被放置在基片的顶部上。承载器然后移动至装料锁气室中。在真空中承载器传送经由简单的磁性轮进行,这些磁性轮被定位在室壁中并且从室外侧在大气或真空环境中被供能。这些室可以具有用于隔离的阀,并且可以具有位于用于在基片下面进行处理的抽屉上方或之中的源。基片可以在系统的卸载端处被移除,或被留在承载器上以便返回到装载端,即,系统的入口侧。承载器在简单的传送带上从系统的处理端返回到系统的装载端。简单的销式传送机将承载器升起到装载和卸载站或从装载和卸载站降下。
图6A-6C示出了三个实施方式,表明了真空室如何能够被安装有变化的尺寸与构造的不同处理源。在图6A-6C的实例中,假定基片被布置成在宽度上为三个,但是,当然更多或更少的基片可以被横向地布置在承载器上。而且,在图6A-6C中,假定该处理室可以容纳若干承载器(例如,两个或三个)以用于同时处理。在图6A-6C中示出的源可以是任何处理源,诸如,例如,PVD,蚀刻,离子注入,等等。
图6A示出了其中单一源601被设置在室600上的实施方式。该单一源被用来处理定位在室600内的所有的基片,不论是单一大基片还是若干较小基片。源601可以具有同时覆盖所有基片的长度和/或宽度。对于一些源来说,制造具有如此大的尺寸的单一源可能太过复杂或太过昂贵。例如,如果源601为溅射源,那么该靶被制造得非常大,这是昂贵的、复杂的,并且导致利用不足。因此,根据图6B和6C的实施方式,若干较小的源被使用。在图6B的实施方式中,源603A-603C中的每个都足够宽以便仅覆盖单一基片,但其可以在纵向上(即,在基片行进的方向上)覆盖多于一个基片。通过使这些源交错使得每个源覆盖每个承载器中的基片中的仅一个,所有基片都可以被处理。这种布置特别适合于经过式处理。相反地,在图6C的实施方式中,源606A-606C中的每个都足够宽以便覆盖一个承载器中的所有基片,即,在垂直于基片行进方向的方向上,但却太窄而不能覆盖定位在该室内的所有基片。事实上,在一些实施方式中,源606A-606C中的每个甚至比一个基片还窄。这种布置同等地适合于经过式或静止式处理。
以上描述的各实施方式提供了具有真空外壳的真空处理室,所述真空外壳的尺寸被确定成用于同时容纳和处理若干基片承载器。该外壳还被构造成用于同时支撑若干处理源。这些处理源可以是例如溅射源,所述溅射源可以是具有足以横过由基片承载器所保持的所有基片的长度的窄源,但可能比定位在该承载器上的基片的宽度窄。若干个这种源可以在承载器的行进方向上一个接一个地被定位在该室的整个长度或一部分长度上。该室具有定位在两个相对的侧上的若干个轴,以便在室内传送承载器。每个轴通过由电动机促动的柔性的带被旋转。每个轴具有以交替的极性次序定位在其上的若干个磁性的轮,即,当一个轮可以使其外圆周被磁化为南极而内直径被磁化为北极时,相邻的轮将使其外圆周被磁化为北极而内直径被磁化为南极。该室还具有入口侧壁和出口侧壁,入口侧壁具有入口开口,出口侧壁与入口侧壁相对并具有出口开口;其中被磁化的轮装置被定位在入口侧壁内并突伸到入口开口中,并且使被磁化的轮装置定位在出口侧壁内并突伸到出口开口中,以便驱动基片承载器穿过入口开口和出口开口。
所公开的系统为线性系统,其中各室被线性地布置,一个室连接到下一个室,使得基片承载器从一侧进入系统、以线性方式横越所有的室、并在相反侧上离开系统。承载器从一个室经由将各室分开的闸阀直接移动到下一个室中。一旦承载器离开系统的真空环境,其就进入升降机并且被竖直地移动到返回传送机,该返回传送机将该承载器水平地传送回系统的入口侧,在那里其进入另一个升降机并且被竖直移动以便被装载新的基片并再次进入系统的真空环境。当承载器在大气环境中被传送时,其被保持在水平取向中。然而,在一个实施方式中,当承载器进入真空环境时,其被旋转到竖直取向,使得各基片在被保持在竖直取向中的同时被处理。
系统可以具有定位在系统的入口侧处的装载和卸载站。装载和卸载系统具有旋转结构,四行卡盘被定位在该旋转结构上,旋转轴线的每侧上有两行。在旋转轴线的每侧上,一行卡盘被构造用于卸载处理过的基片并且一行卡盘被构造用于装载新的基片。该旋转结构被构造用于竖直运动,其中当其采取其降下位置时该结构拾取基片、并且当其采取其升高位置时该结构旋转180度。而且,当该结构处于其降下位置时,在旋转轴线的每侧上一行卡盘拾取基片而另一行卡盘放下(即,释放)其基片。在一个实施方式中,两个传送机被设置成横跨系统的入口,其中一个传送机递送新的基片而另一个传送机移除处理过的基片。该旋转结构被构造成使得在其降下位置中一行卡盘被与递送新基片的传送机对齐,而另一行卡盘被与移除处理过的基片的传送机对齐。同时,在旋转轴线的另一侧上,一行卡盘被与空承载器对齐,而另一行卡盘被与保持着处理过的基片的承载器对齐。
在一些实施方式中,一些措施被形成以便施加电位到基片。特别地,每个承载器包括导电条带,当该承载器进入处理室时,所述导电条带被插入滑动触点中,所述滑动触点包括细长的接触刷和共形的绝缘弹簧,所述绝缘弹簧被构造成将该导电条带压靠向该细长的接触刷。绝缘条带(诸如Kapton条带)可被用来将导电条带附装至承载器。
当对基片的处理需要使用掩模时,各掩模可以被单独地放置在每个基片的顶部上,或一个掩模可以被形成以同时覆盖一个承载器的所有基片。掩模可以例如利用磁体被保持在位。然而,为了进行精确处理,该掩模必须被制造得非常薄,并且因此在处理期间可能由于热应力而变形。另外,薄的掩模可能迅速收集沉积物并且该沉积物可能妨碍掩模的精确放置和掩蔽。因此,使用根据下面公开的实施方式的双掩模装置将是有利的。
图7A-7E示出了根据各个实施方式的具有用于双掩模的装置的多晶片承载器的视图。图7A示出了具有双掩模装置的多晶片承载器,其中该掩模装置处于降下位置使得内掩模处于与晶片的密切物理接触;图7B示出了具有双掩模装置的多晶片承载器,其中该掩模装置处于升高位置从而使得能够更换晶片;图7C示出了具有双掩模装置的多晶片承载器,其中晶片升降器被包括以用于装载/卸载晶片;图7D示出了具有双掩模装置的多晶片承载器的局部截面,其中该掩模装置和晶片升降器处于升高位置;并且图7E示出了具有双掩模装置的多晶片承载器的局部截面,其中该掩模装置和晶片升降器处于降下位置。
参照图7A,多晶片承载器,也被称作承载器支座700,具有三个单独的单晶片承载器或基座705,它们通过例如由陶瓷制成的基座框架或复数个条110被支撑。每个单晶片承载器705被构造用于将单一晶片与双掩模装置保持在一起。在图7A中,双掩模装置处于被降下的位置中,但没有晶片被置于任一个承载器中,以便暴露承载器的结构。在图7B中,双掩模装置被显示处于被升起的位置中,同样没有晶片处于任一个承载器中。在图7A-7E的实施方式中,升降器715被用来升起和降下双掩模装置;然而,为了较低的成本和较少的复杂性,升降器715可以被取消并且双掩模装置可以被手动地升起。传送轨725被设置在框架710的每一侧上,以便使得能够贯穿该系统传送承载器700。
单晶片承载器705中的每一个具有基部730(在图7B中可见),该基部具有带凹部735的凸起式框架732以便支撑通过外周而被悬置的晶片。带有框架732的基部730形成位于悬置的晶片下方的凹穴740,该凹穴有利于俘获破碎的晶片碎块。在一些实施方式中,框架732可从基部730分离。外掩模745被构造成被安装在框架732上,以便覆盖框架732并覆盖内掩模的外周,但暴露内掩模的与晶片相对应的中央部分。这通过图8的实施方式中的截面图被例证。
在图8中,基部或基座805具有带凹部832的凸起式框架830,其在晶片的外周处支撑着晶片820。带有框架830的基部805形成凹穴840,并且晶片被悬置在凹穴上方。一系列磁体834被定位在凸起式框架830内部,以便环绕晶片820的外周。在一些实施方式中,尤其是用于高温操作的实施方式中,磁体834可以由钐钴(SmCo)制成。内掩模850被定位在凸起式框架830和晶片820的顶部上,并且由各磁体834保持在位,使得其物理接触晶片。外掩模845被放置在内掩模850上面并且物理接触内掩模850,使得其覆盖内掩模850的外周,除了内掩模的被设计用于对晶片进行处理的那个区域以外。外掩模945的实例被示于图9中,在本实例中外掩模由折叠的铝片制成,其中内掩模除了小的外周边缘952以外被外掩模覆盖,因为本实例是用于边缘分流隔离处理的。用于边缘分流隔离的内掩模750的实例在图10中被示出,其基本上是具有孔口的平坦金属片,该孔口的尺寸和形状如同晶片的尺寸和形状,除了其比晶片的尺寸略小(例如小1-2mm)以外。在图8的实施方式中,掩模框架836被设置成使能够支撑内掩模和外掩模并从承载器升起内掩模和外掩模。在这种构造中,外掩模被夹在掩模框架836和内掩模850之间。
图8A示出了另一实施方式,其可被用于例如在晶片的背面上形成触点图案。在本实施方式中,基座形成顶部平台以在晶片的整个表面上支撑晶片。各磁体834被埋置在基座顶表面的下方遍布基座的整个区域。内掩模850覆盖晶片820的整个表面并且根据触点设计而具有多个孔。
转回至图7A-7E,升降器715可以被用来将外掩模连同内掩模一起举起。而且,晶片升降器752可以被用来将晶片从框架730升起,使得该晶片可以使用机械臂而被用于处理的新晶片所代替。然而,升降器715和752可以被取消并且升起各掩模以及更换晶片的操作可以替代地被手动完成。
在上面参照图8所描述的实施方式中,承载器在晶片的外周边缘上支撑着晶片,使得晶片被悬置。形成于晶片下方的凹穴俘获破碎的晶片碎块并且防止沉积材料的绕回。另一方面,在图8A的实施方式中,晶片遍及其整个表面而被支撑。掩模组件被降低在位以用于溅射或其它形式的处理,并且被手动地或机械地升起以用于装载和卸载晶片。承载器上的一系列磁体帮助将内掩模固定在位且与晶片紧密接触。在反复使用之后,外掩模和内掩模可以被更换,而承载器组件的其余部分可以被重新使用。框架810,也被称作掩模组件边条,可以由低热膨胀材料制成,诸如氧化铝或钛。
根据以上的实施方式,内掩模建立起与基片的密切的无间隙接触。外掩模保护着内掩模、承载器和框架免于被沉积材料。在所示的实施方式中,外掩模和内掩模的开口呈伪方形(pseudo-square)的形状,适合于在边缘分流隔离工艺期间应用至单晶太阳能电池。在其它工艺期间内掩模具有某些孔口布置,而外掩模具有伪方形形状的孔口。伪方形形状是其拐角根据从中切下晶片的圆锭而被切掉的方形。当然,如果多晶的方形晶片被使用,那么外掩模和内掩模的开口也将是方形的。
图11示出了单晶片承载器1105的实施方式。晶片以其外周搁在凹部1132上。以虚线示出的磁体1134,在晶片周围被设置在承载器内部。对正销1160被用来将外掩模对齐到承载器1105。外掩模的实施方式被示于图12中,该图是从下侧观察的。外掩模1245具有与承载器1205的对正销1260相对应的对正孔或凹部1262。
图13示出了被用来保持外掩模和内掩模并将这些掩模固定至基座的顶部框架1336的实施方式。顶部框架1336可以通过例如由两根横向条1364保持在一起的两根纵向条1362而制成。外掩模被保持在凹穴1366内部。对正孔1368被设置以便将顶部框架对齐至基座。
图14示出了具有例如被设计用于在晶片上制作多个触点的孔图案的内掩模的实例。这种内掩模可以与示于图15中的基座一起被使用,在图15中各磁体1534被分布在晶片表面的下方遍及整个区域。这些磁体以交替的极性被定向。
上掩模或外掩模可以由薄的(例如,大约0.03”)铝、钢或其它类似材料制成,并且被构造成与基片承载器配合。内掩模由非常薄的(例如,大约0.001到0.003”)平坦钢片、或其它磁性材料制成,并且被构造成被嵌套在所述外掩模内。
根据另外的实施方式,提供了用于在处理期间支撑晶片的装置,其包括:晶片承载器或基座,其具有凸起式框架,所述凸起式框架具有用于在晶片外周的周围支撑晶片并将晶片限制至预定位置的凹部;内掩模,其被构造用于放置在所述凸起式框架的顶部上,所述内掩模具有孔口配置,所述孔口配置被构造成掩蔽晶片的一部分并暴露晶片的剩余部分;以及,外掩模,其被构造用于放置在所述凸起式框架上面在所述内掩模的顶部上,所述外掩模具有被构造成部分地覆盖所述内掩模的单一开口。顶部框架承载器可以被用来保持所述内掩模和外掩模并且使所述内掩模和外掩模固定至所述晶片基座。
复数个磁体被设置在所述基座中并且完全在所述框架周围或者完全在所述基座的整个表面的下方并且直接在晶片下面以N-S-N-S-N的极性交替。所述外掩模和内掩模被设计成仅通过磁性力而被保持至所述框架,以便允许容易和快速地装载和卸载基片。
掩模组件可从所述晶片承载器和支撑框架移除以便将基片装载至所述承载器中。所述外掩模和内掩模都作为所述掩模组件的一部分而被升起。一旦晶片位于所述承载器上在所述晶片凹穴中,所述掩模组件就被向下降回到所述承载器上。所述内掩模叠盖住所述晶片的顶表面。所述承载器框架中的各磁体将所述内掩模向下牵引至与所述基片密切接触。这在晶片的边缘上形成了紧密的顺应性密封。所述外掩模被设计为防止在薄的顺应性内掩模上发生沉积。如上面所阐明的,沉积过程可能导致内掩模发热,从而导致该掩模翘曲且松开与晶片的接触。如果该掩模松开与晶片的接触,那么金属膜将沉积在基片晶片的表面上的排除区域中。所述凹穴和由各磁体产生的摩擦力保持着所述基片和掩模免于在传送和沉积期间相对于彼此运动,并且所述外掩模防止膜沉积在所述内掩模上并防止所述内掩模翘曲。
所述掩模组件可通过使用真空承载器交换器而从具有所述承载器的系统中被周期性地移除。所述承载器交换器为具有承载器传送机构的便携式真空外壳。其允许各承载器“在运行中(on the fly)”被交换,而无需停下该系统的连续操作。
尽管本发明已依据具体材料和具体步骤的示例性实施方式进行论述,但应被本领域的技术人员所理解的是,这些具体实例的变体可以被做出和/或使用,并且这些结构和方法将根据由所描述和示出的实践以及对一些操作的论述所赋予的理解得出,这些操作使得在不偏离由所附的权利要求限定的本发明的范围的情况下可被做出的那些修改便利。
权利要求书(按照条约第19条的修改)
1.用于在真空室中处理基片的系统,其包括:
多个承载器,每个承载器被构造用于支撑并传送基片贯穿所述系统;
装载站,用于装载基片到所述承载器上;
承载器传送系统,用于传送所述承载器贯穿所述系统并将所述承载器返回到所述装载站;
装料锁气室装置,用于将承载器引入真空环境中;以及,
至少一个真空处理室,其从所述装料锁气室装置接收多个承载器,所述真空处理室被确定尺寸并被构造成用于同时容纳所述多个承载器并且同时处理定位在所述多个承载器上的基片。
2.如权利要求1所述的系统,其中所述承载器中的每个被构造用于支撑1×n的线性阵列的基片,其中n为大于1的整数,使得所述真空处理室同时容纳并处理m×n的阵列的基片,其中m为容纳在所述真空处理室内的承载器的数目,并且其中m为大于1的整数。
3.如权利要求1所述的系统,还包括被定位在所述装载站和所述装料锁气室装置之间的缓冲站,所述缓冲站被构造成同时容纳至少与被同时容纳在所述处理室中的承载器相同数目的承载器。
4.如权利要求3所述的系统,其中所述缓冲站包括承载器旋转装置,其用于将所述承载器从水平取向旋转到竖直取向。
5.如权利要求4所述的系统,其中所述承载器传送装置在大气环境中以水平取向传送承载器并且在真空环境中以竖直取向传送承载器。
6.如权利要求1所述的系统,其中所述承载器传送系统包括用于在完成处理之后将承载器返回到所述装载站的传送机。
7.如权利要求6所述的系统,其中所述传送机在大气环境中在所述处理室上方经过。
8.如权利要求6所述的系统,其中所述承载器传送系统还包括多个磁性轮装置,并且所述承载器中的每个包括骑跨在所述磁性轮上的磁性条。
9.如权利要求8所述的系统,其中所述多个磁性轮装置包括多个旋转的轴,所述旋转的轴中的每个具有以交替的磁极性附装到其上的多个磁性轮。
10.如权利要求9所述的系统,其中所述轴中的每个通过柔性的带被旋转。
11.如权利要求10所述的系统,其中所述柔性的带包括O形环。
12.如权利要求8所述的系统,其中所述真空处理室具有入口开口和出口开口,并且其中所述磁性轮中的一些被定位在所述入口开口和出口开口内。
13.如权利要求1所述的系统,其中所述承载器传送系统包括被连接至所述装载站的第一承载器升降机,和被连接至所述系统的与装载站相反的端部的第二承载器升降机。
14.如权利要求1所述的系统,还包括基片拾取装置,其具有多行卡盘,每个卡盘被构造用于保持基片,所述基片拾取装置被构造成竖直地上下移动以及围绕轴线旋转,所述基片拾取装置还被构造成同时地从一个承载器移除处理过的基片并将新的基片装载在另一个承载器上。
15.如权利要求14所述的系统,其中所述基片拾取装置被构造成同时地将处理过的基片放在一个传送机上并从另一个传送机拾取新的基片,同时,所述拾取装置同时地从一个承载器移除处理过的基片并将新的基片装载在另一个承载器上。
16.如权利要求15所述的系统,其中所述多个卡盘包括多个伯努利卡盘,它们被构造成利用伯努利吸力保持基片。
17.如权利要求15所述的系统,其中所述拾取装置在所述轴线的一侧上具有两行卡盘并且在所述轴线的另一侧上具有两行卡盘。
18.如权利要求14所述的系统,其中所述传送装置被构造成:每次所述拾取装置旋转180度,将所述承载器移动一步。
19.如权利要求14所述的系统,还包括具有掩模升降器的掩模升降器装置,所述掩模升降器被构造成从具有处理过的基片的承载器移除掩模并将所述掩模放置在具有新的基片的不同的承载器上。
20.如权利要求1所述的系统,还包括多个掩模和掩模升降器,所述掩模升降器用于从承载器提升所述掩模以用于基片装载和卸载。
21.如权利要求1所述的系统,还包括多个掩模组件,每个掩模组件包括内掩模、外掩模和掩模框架,所述掩模框架将所述内掩模和外掩模连接到所述承载器之一。
22.如权利要求1所述的系统,还包括基片对正机构,所述基片对正机构包括:
卡盘,其具有:被构造成具有对正销的第一侧,与所述第一侧正交并被构造成具有两个对正销的第二侧,与所述第一侧相反并被构造成具有第一切口的第三侧,和与所述第二侧相反并被构造成具有第二切口的第四侧;和,
第一推动销,其被构造成进入所述第一切口以便推动所述基片抵靠所述第一对正销,以及,第二推动销,其被构造成进入所述第二切口并推动所述基片抵靠所述两个对正销。
23.如权利要求1所述的系统,其中所述装料锁气室装置被构造成同时容纳与被同时容纳在所述处理室内的承载器相同数目的承载器。
Claims (22)
1.用于在真空室中处理基片的系统,其包括:
多个承载器,每个承载器被构造用于支撑并传送基片贯穿所述系统;
装载站,用于装载基片到所述承载器上;
承载器传送系统,用于传送所述承载器贯穿所述系统并将所述承载器返回到所述装载站;
装料锁气室装置,用于将承载器引入真空环境中;以及,
至少一个真空处理室,其从所述装料锁气室装置接收多个承载器,所述真空处理室被确定尺寸并被构造成用于同时容纳所述多个承载器并且同时处理定位在所述多个承载器上的基片。
2.如权利要求1所述的系统,其中所述承载器中的每个被构造用于支撑1×n的线性阵列的基片,其中n为大于1的整数,使得所述真空处理室同时容纳并处理m×n的阵列的基片,其中m为容纳在所述真空处理室内的承载器的数目,并且其中m为大于1的整数。
3.如权利要求1所述的系统,还包括被定位在所述装载站和所述装料锁气室装置之间的缓冲站,所述缓冲站被构造成同时容纳至少与被同时容纳在所述处理室中的承载器相同数目的承载器。
4.如权利要求3所述的系统,其中所述缓冲站包括承载器旋转装置,其用于将所述承载器从水平取向旋转到竖直取向。
5.如权利要求4所述的系统,其中所述承载器传送装置在大气环境中以水平取向传送承载器并且在真空环境中以竖直取向传送承载器。
6.如权利要求1所述的系统,其中所述承载器传送系统包括用于在完成处理之后将承载器返回到所述装载站的传送机。
7.如权利要求6所述的系统,其中所述传送机在大气环境中在所述处理室上方经过。
8.如权利要求6所述的系统,其中所述承载器传送系统还包括多个磁性轮装置,并且所述承载器中的每个包括骑跨在所述磁性轮上的磁性条。
9.如权利要求8所述的系统,其中所述多个磁性轮装置包括多个旋转的轴,所述旋转的轴中的每个具有以交替的磁极性附装到其上的多个磁性轮。
10.如权利要求9所述的系统,其中所述轴中的每个通过柔性的带被旋转。
11.如权利要求10所述的系统,其中所述柔性的带包括O形环。
12.如权利要求8所述的系统,其中所述真空处理室具有入口开口和出口开口,并且其中所述磁性轮中的一些被定位在所述入口开口和出口开口内。
13.如权利要求1所述的系统,其中所述承载器传送系统包括被连接至所述装载站的第一承载器升降机,和被连接至所述系统的与装载站相反的端部的第二承载器升降机。
14.如权利要求1所述的系统,还包括基片拾取装置,其具有多行卡盘,每个卡盘被构造用于保持基片,所述基片拾取装置被构造成竖直地上下移动以及围绕轴线旋转,所述基片拾取装置还被构造成同时地从一个承载器移除处理过的基片并将新的基片装载在另一个承载器上。
15.如权利要求14所述的系统,其中所述基片拾取装置被构造成同时地将处理过的基片放在一个传送机上并从另一个传送机拾取新的基片,同时,所述拾取装置同时地从一个承载器移除处理过的基片并将新的基片装载在另一个承载器上。
16.如权利要求15所述的系统,其中所述多个卡盘包括多个伯努利卡盘,它们被构造成利用伯努利吸力保持基片。
17.如权利要求15所述的系统,其中所述拾取装置在所述轴线的一侧上具有两行卡盘并且在所述轴线的另一侧上具有两行卡盘。
18.如权利要求14所述的系统,其中所述传送装置被构造成:每次所述拾取装置旋转180度,将所述承载器移动一步。
19.如权利要求14所述的系统,还包括具有掩模升降器的掩模升降器装置,所述掩模升降器被构造成从具有处理过的基片的承载器移除掩模并将所述掩模放置在具有新的基片的不同的承载器上。
20.如权利要求1所述的系统,还包括多个掩模和掩模升降器,所述掩模升降器用于从承载器提升所述掩模以用于基片装载和卸载。
21.如权利要求1所述的系统,还包括多个掩模组件,每个掩模组件包括内掩模、外掩模和掩模框架,所述掩模框架将所述内掩模和外掩模连接到所述承载器之一。
22.如权利要求1所述的系统,还包括基片对正机构,所述基片对正机构包括:
卡盘,其具有:被构造成具有对正销的第一侧,与所述第一侧正交并被构造成具有两个对正销的第二侧,与所述第一侧相反并被构造成具有第一切口的第三侧,和与所述第二侧相反并被构造成具有第二切口的第四侧;和,
第一推动销,其被构造成进入所述第一切口以便推动所述基片抵靠所述第一对正销,以及,第二推动销,其被构造成进入所述第二切口并推动所述基片抵靠所述两个对正销。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201261639052P | 2012-04-26 | 2012-04-26 | |
US61/639,052 | 2012-04-26 | ||
PCT/US2013/038530 WO2013163622A1 (en) | 2012-04-26 | 2013-04-26 | System architecture for vacuum processing |
Publications (2)
Publication Number | Publication Date |
---|---|
CN104582863A true CN104582863A (zh) | 2015-04-29 |
CN104582863B CN104582863B (zh) | 2016-09-21 |
Family
ID=49477432
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201380033430.7A Active CN104582863B (zh) | 2012-04-26 | 2013-04-26 | 用于真空处理的系统结构 |
Country Status (10)
Country | Link |
---|---|
US (2) | US9502276B2 (zh) |
EP (1) | EP2852469B1 (zh) |
JP (1) | JP6231078B2 (zh) |
KR (1) | KR102072872B1 (zh) |
CN (1) | CN104582863B (zh) |
MY (1) | MY170824A (zh) |
PT (1) | PT2852469T (zh) |
SG (1) | SG11201406893XA (zh) |
TW (1) | TWI518832B (zh) |
WO (1) | WO2013163622A1 (zh) |
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9502276B2 (en) | 2012-04-26 | 2016-11-22 | Intevac, Inc. | System architecture for vacuum processing |
US9525099B2 (en) | 2012-04-19 | 2016-12-20 | Intevac, Inc. | Dual-mask arrangement for solar cell fabrication |
US9543114B2 (en) | 2014-08-05 | 2017-01-10 | Intevac, Inc. | Implant masking and alignment system with rollers |
CN106544638A (zh) * | 2016-12-09 | 2017-03-29 | 中国科学院半导体研究所 | 一种拼装型的掩模板装置 |
CN108385092A (zh) * | 2018-03-30 | 2018-08-10 | 何松 | 一种银及银涂层的无铬钝化液及其钝化方法 |
US10062600B2 (en) | 2012-04-26 | 2018-08-28 | Intevac, Inc. | System and method for bi-facial processing of substrates |
CN109496348A (zh) * | 2016-09-12 | 2019-03-19 | 应用材料公司 | 半导体工艺设备 |
CN110828337A (zh) * | 2015-10-22 | 2020-02-21 | 朗姆研究公司 | 在原位从半导体处理模块移除和更换消耗部件的系统 |
CN113353614A (zh) * | 2020-03-05 | 2021-09-07 | 株式会社快乐福 | 用于折叠二次电池电芯的处理的二次电池电芯传送装置 |
CN115652265A (zh) * | 2022-12-08 | 2023-01-31 | 常州夸克涂层科技有限公司 | 多弧离子镀用输送系统及多弧离子镀膜工艺 |
Families Citing this family (331)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US10679883B2 (en) * | 2012-04-19 | 2020-06-09 | Intevac, Inc. | Wafer plate and mask arrangement for substrate fabrication |
US9694990B2 (en) | 2012-06-14 | 2017-07-04 | Evatec Ag | Transport and handing-over arrangement for disc-shaped substrates, vacuum treatment installation and method for manufacture treated substrates |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
KR102075527B1 (ko) * | 2013-05-16 | 2020-02-11 | 삼성디스플레이 주식회사 | 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법 |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9460950B2 (en) * | 2013-12-06 | 2016-10-04 | Applied Materials, Inc. | Wafer carrier for smaller wafers and wafer pieces |
PL3095890T3 (pl) * | 2014-01-14 | 2019-02-28 | The Batteries Spółka z ograniczoną odpowiedzialnością | Sposób nakładania powłok cienkowarstwowych i linia produkcyjna do przeprowadzania sposobu |
US9899635B2 (en) * | 2014-02-04 | 2018-02-20 | Applied Materials, Inc. | System for depositing one or more layers on a substrate supported by a carrier and method using the same |
CN106460164B (zh) * | 2014-02-20 | 2019-02-22 | 因特瓦克公司 | 用于衬底的双面处理的系统及方法 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
WO2016128579A1 (en) * | 2015-02-13 | 2016-08-18 | Oerlikon Surface Solutions Ag, Pfäffikon | Fixture comprising magnetic means for holding rotary symmetric workpieces |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) * | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
KR102587203B1 (ko) * | 2015-07-13 | 2023-10-10 | 브룩스 오토메이션 인코퍼레이티드 | 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치 |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
KR102447219B1 (ko) * | 2015-10-01 | 2022-09-23 | 인테벡, 인코포레이티드 | 기판 제조를 위한 웨이퍼 플레이트 및 마스크 배열 |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
WO2017201669A1 (en) * | 2016-05-24 | 2017-11-30 | Applied Materials, Inc. | A shadow mask with plasma resistant coating |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
CN107546169A (zh) * | 2016-06-27 | 2018-01-05 | 上海新昇半导体科技有限公司 | 基板的抓取装置及其抓取方法 |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US20190116294A1 (en) * | 2016-10-18 | 2019-04-18 | Interdigital Vc Holdings, Inc. | Method for detection of saturated pixels in an image |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11251019B2 (en) * | 2016-12-15 | 2022-02-15 | Toyota Jidosha Kabushiki Kaisha | Plasma device |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
CN107093652B (zh) * | 2017-06-23 | 2020-02-21 | 浙江光隆能源科技股份有限公司 | 一种双85抗pid多晶太阳能电池片的制作工艺 |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
JP6863199B2 (ja) | 2017-09-25 | 2021-04-21 | トヨタ自動車株式会社 | プラズマ処理装置 |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
TWI779134B (zh) | 2017-11-27 | 2022-10-01 | 荷蘭商Asm智慧財產控股私人有限公司 | 用於儲存晶圓匣的儲存裝置及批爐總成 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
CN109920749A (zh) * | 2017-12-12 | 2019-06-21 | 湘潭宏大真空技术股份有限公司 | 一种大面积玻璃基板装载机 |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
CN108389821A (zh) * | 2018-05-04 | 2018-08-10 | 成都华聚科技有限公司 | 一种晶圆与mask单独取放和精准定位机构 |
KR20190128558A (ko) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
CN112292477A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
WO2020043277A1 (en) * | 2018-08-29 | 2020-03-05 | Applied Materials, Inc. | Apparatus for transportation of a first carrier and a second carrier, processing system for vertically processing a substrate, and methods therefor |
US11235013B2 (en) | 2018-09-04 | 2022-02-01 | Babak Ghalili | Cannabinoid, menthol and caffeine dissolvable film compositions, devices and methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US10901328B2 (en) * | 2018-09-28 | 2021-01-26 | Applied Materials, Inc. | Method for fast loading substrates in a flat panel tool |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
JP2020136677A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
US11756816B2 (en) | 2019-07-26 | 2023-09-12 | Applied Materials, Inc. | Carrier FOUP and a method of placing a carrier |
US11196360B2 (en) | 2019-07-26 | 2021-12-07 | Applied Materials, Inc. | System and method for electrostatically chucking a substrate to a carrier |
US10916464B1 (en) | 2019-07-26 | 2021-02-09 | Applied Materials, Inc. | Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
TW202129068A (zh) | 2020-01-20 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 形成薄膜之方法及修飾薄膜表面之方法 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
WO2022002385A1 (en) * | 2020-07-01 | 2022-01-06 | Applied Materials, Inc. | Apparatus for moving a substrate, deposition apparatus, and processing system |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
CN112501574B (zh) * | 2020-10-27 | 2022-10-25 | 东兴华鸿光学科技有限公司 | 太阳镜片镀膜设备 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
JP2021080567A (ja) * | 2021-01-28 | 2021-05-27 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 耐プラズマコーティングを有するシャドウマスク |
CN113206029B (zh) * | 2021-05-07 | 2022-08-12 | 阳江市恒昊电子科技有限公司 | 一种电子元器件加工用封装设备 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
DE102021123777A1 (de) * | 2021-09-14 | 2023-03-16 | Schott Ag | Anlage und Verfahren zum mehrschrittigen Verarbeiten flächiger Substrate |
CN114005775A (zh) * | 2021-10-29 | 2022-02-01 | 德鸿半导体设备(浙江)有限公司 | 基片的处理系统及方法 |
CN114990512B (zh) * | 2022-05-19 | 2024-01-26 | 国家电投集团氢能科技发展有限公司 | 用于真空镀膜的挂装载具、上下料设备和连续真空镀膜系统 |
WO2024064423A1 (en) * | 2022-09-23 | 2024-03-28 | Applied Materials, Inc. | Susceptor transfer for process chamber |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6083566A (en) * | 1998-05-26 | 2000-07-04 | Whitesell; Andrew B. | Substrate handling and processing system and method |
US20090194026A1 (en) * | 2008-01-31 | 2009-08-06 | Burrows Brian H | Processing system for fabricating compound nitride semiconductor devices |
Family Cites Families (98)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3498711A (en) | 1967-10-18 | 1970-03-03 | Texas Instruments Inc | Step and repeat camera |
US3775644A (en) | 1972-09-20 | 1973-11-27 | Communications Satellite Corp | Adjustable microstrip substrate holder |
JPS57204547A (en) | 1981-06-12 | 1982-12-15 | Hitachi Ltd | Exposing method |
GB2155201B (en) | 1984-02-24 | 1988-07-13 | Canon Kk | An x-ray exposure apparatus |
US4599970A (en) | 1985-03-11 | 1986-07-15 | Rca Corporation | Apparatus for coating a selected area of the surface of an object |
US4915057A (en) | 1985-10-23 | 1990-04-10 | Gte Products Corporation | Apparatus and method for registration of shadow masked thin-film patterns |
US4915564A (en) | 1986-04-04 | 1990-04-10 | Materials Research Corporation | Method and apparatus for handling and processing wafer-like materials |
US4699555A (en) | 1986-05-08 | 1987-10-13 | Micrion Limited Partnership | Module positioning apparatus |
JPS6351446U (zh) * | 1986-09-22 | 1988-04-07 | ||
US4913789A (en) | 1988-04-18 | 1990-04-03 | Aung David K | Sputter etching and coating process |
US5567267A (en) | 1992-11-20 | 1996-10-22 | Tokyo Electron Limited | Method of controlling temperature of susceptor |
US5489369A (en) | 1993-10-25 | 1996-02-06 | Viratec Thin Films, Inc. | Method and apparatus for thin film coating an article |
US5707745A (en) | 1994-12-13 | 1998-01-13 | The Trustees Of Princeton University | Multicolor organic light emitting devices |
JP3732250B2 (ja) | 1995-03-30 | 2006-01-05 | キヤノンアネルバ株式会社 | インライン式成膜装置 |
TW320687B (zh) | 1996-04-01 | 1997-11-21 | Toray Industries | |
US6084494A (en) | 1997-01-23 | 2000-07-04 | Hewlett-Packard Company | Shuntable magnetic mask support apparatus |
JP2000048954A (ja) | 1998-07-30 | 2000-02-18 | Toray Ind Inc | 有機電界発光素子の製造方法 |
US6146489A (en) | 1998-11-19 | 2000-11-14 | General Electric Company | Method and apparatus for depositing scintillator material on radiation imager |
JP2000173769A (ja) | 1998-12-03 | 2000-06-23 | Toray Ind Inc | 有機電界発光素子の製造方法 |
JP2002532758A (ja) | 1998-12-14 | 2002-10-02 | コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ | リング状esd保護領域を設けたマスク縁部を有するフォトマスク |
TW552306B (en) | 1999-03-26 | 2003-09-11 | Anelva Corp | Method of removing accumulated films from the surfaces of substrate holders in film deposition apparatus, and film deposition apparatus |
JP2001049422A (ja) | 1999-08-09 | 2001-02-20 | Hitachi Ltd | メタルマスクの基板への保持固定構造、保持固定治具、その補助具、及びトレイ |
US6532975B1 (en) | 1999-08-13 | 2003-03-18 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
JP2001110567A (ja) | 1999-10-08 | 2001-04-20 | Toray Ind Inc | 有機電界発光装置の製造方法 |
JP4453884B2 (ja) | 1999-11-24 | 2010-04-21 | 大日本印刷株式会社 | スパッタ用メタルマスクおよびカラーフィルタの製造方法 |
JP2001203079A (ja) | 2000-01-18 | 2001-07-27 | Toray Ind Inc | 有機電界発光装置の製造方法 |
JP2001247961A (ja) | 2000-03-06 | 2001-09-14 | Casio Comput Co Ltd | 蒸着用スクリーンマスク、蒸着方法及び有機el素子の製造方法 |
JP2002009098A (ja) | 2000-06-16 | 2002-01-11 | Sony Corp | パターン形成装置、パターン形成方法、有機電界発光素子ディスプレイの製造装置及び製造方法 |
JP2002008859A (ja) | 2000-06-16 | 2002-01-11 | Sony Corp | パターン形成装置、パターン形成方法、有機電界発光素子ディスプレイの製造装置及び製造方法 |
WO2002042508A1 (fr) | 2000-11-21 | 2002-05-30 | Nippon Yakin Kogyo Co., Ltd. | Materiau en alliage nickel-fer destine a un masque perfore parfaitement adapte a la gravure |
US6895294B2 (en) | 2000-12-04 | 2005-05-17 | Freescale Semiconductor, Inc. | Assembly comprising a plurality of mask containers, manufacturing system for manufacturing semiconductor devices, and method |
JP4704605B2 (ja) | 2001-05-23 | 2011-06-15 | 淳二 城戸 | 連続蒸着装置、蒸着装置及び蒸着方法 |
JP4078813B2 (ja) | 2001-06-12 | 2008-04-23 | ソニー株式会社 | 成膜装置および成膜方法 |
US6475287B1 (en) | 2001-06-27 | 2002-11-05 | Eastman Kodak Company | Alignment device which facilitates deposition of organic material through a deposition mask |
US8796589B2 (en) | 2001-07-15 | 2014-08-05 | Applied Materials, Inc. | Processing system with the dual end-effector handling |
CN100355104C (zh) | 2001-08-24 | 2007-12-12 | 大日本印刷株式会社 | 真空蒸镀用多面成形掩模装置 |
US6716656B2 (en) | 2001-09-04 | 2004-04-06 | The Trustees Of Princeton University | Self-aligned hybrid deposition |
US6589382B2 (en) | 2001-11-26 | 2003-07-08 | Eastman Kodak Company | Aligning mask segments to provide a stitched mask for producing OLED devices |
US6749690B2 (en) | 2001-12-10 | 2004-06-15 | Eastman Kodak Company | Aligning mask segments to provide an assembled mask for producing OLED devices |
NL1020633C2 (nl) | 2002-05-21 | 2003-11-24 | Otb Group Bv | Samenstel voor het behandelen van substraten. |
KR100838065B1 (ko) | 2002-05-31 | 2008-06-16 | 삼성에스디아이 주식회사 | 박막증착기용 고정장치와 이를 이용한 고정방법 |
JP4440563B2 (ja) | 2002-06-03 | 2010-03-24 | 三星モバイルディスプレイ株式會社 | 有機電子発光素子の薄膜蒸着用マスクフレーム組立体 |
JP3983113B2 (ja) | 2002-06-20 | 2007-09-26 | Tdk株式会社 | 円板状基板用成膜装置に対する基板の受け渡し方法、基板受け渡しシステム、および当該方法を用いたディスク状記録媒体の製造方法 |
JP4072422B2 (ja) | 2002-11-22 | 2008-04-09 | 三星エスディアイ株式会社 | 蒸着用マスク構造体とその製造方法、及びこれを用いた有機el素子の製造方法 |
JP4380319B2 (ja) | 2002-12-19 | 2009-12-09 | ソニー株式会社 | 蒸着装置および有機エレクトロルミネッセンス素子の製造方法 |
US7578647B2 (en) * | 2003-01-27 | 2009-08-25 | Applied Materials, Inc. | Load port configurations for small lot size substrate carriers |
JP4219195B2 (ja) * | 2003-03-14 | 2009-02-04 | 大日本スクリーン製造株式会社 | 基板搬送装置およびそれを備えた基板処理装置 |
EP1995769A1 (en) | 2003-08-07 | 2008-11-26 | Nikon Corporation | Exposure method and exposure apparatus, stage unit, and device manufacturing method |
KR101003699B1 (ko) | 2003-08-11 | 2010-12-23 | 주성엔지니어링(주) | 섀도우 프레임을 포함하는 액정표시장치용 증착장치 및 그의 동작방법 |
US7236233B2 (en) * | 2003-10-27 | 2007-06-26 | Asml Netherlands B.V. | Assembly of a reticle holder and a reticle |
KR100609115B1 (ko) * | 2003-10-27 | 2006-08-09 | 에이에스엠엘 네델란즈 비.브이. | 레티클 홀더 및 레티클의 조립체 |
JP4435541B2 (ja) * | 2003-11-07 | 2010-03-17 | 株式会社カネカ | Cvd装置及びcvd方法 |
JP4331707B2 (ja) | 2004-12-16 | 2009-09-16 | 三星モバイルディスプレイ株式會社 | 整列システム、垂直型トレイ移送装置及びこれを具備した蒸着装置 |
KR100687502B1 (ko) * | 2005-02-23 | 2007-02-27 | 세메스 주식회사 | 증착 장치 및 상기 장치에서 마스크를 회수하는 방법. |
DE502005007746D1 (de) | 2005-04-20 | 2009-09-03 | Applied Materials Gmbh & Co Kg | Verfahren und Vorrichtung zur Maskenpositionierung |
EP1715075B1 (de) | 2005-04-20 | 2008-04-16 | Applied Materials GmbH & Co. KG | Magnetische Maskenhalterung |
DE102005021048A1 (de) | 2005-05-06 | 2006-12-28 | Infineon Technologies Ag | Vorrichtung zum Stabilisieren eines Werkstücks bei einer Bearbeitung |
TWI383936B (zh) | 2006-02-01 | 2013-02-01 | Olympus Corp | 基板交換裝置、基板處理裝置及基板檢查裝置 |
JP4781835B2 (ja) * | 2006-02-07 | 2011-09-28 | 住友重機械工業株式会社 | 成膜装置 |
CN100368831C (zh) | 2006-03-16 | 2008-02-13 | 曹国斌 | 一种采聚太阳能的掩模片及采用掩模片的太阳能装置 |
JP4614455B2 (ja) | 2006-04-19 | 2011-01-19 | 東京エレクトロン株式会社 | 基板搬送処理装置 |
KR101003515B1 (ko) * | 2006-04-19 | 2010-12-30 | 가부시키가이샤 알박 | 종형 기판반송장치 및 성막장치 |
US8128333B2 (en) * | 2006-11-27 | 2012-03-06 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and manufacturing method for semiconductor devices |
JP4753313B2 (ja) | 2006-12-27 | 2011-08-24 | 東京エレクトロン株式会社 | 基板処理装置 |
JP5081516B2 (ja) | 2007-07-12 | 2012-11-28 | 株式会社ジャパンディスプレイイースト | 蒸着方法および蒸着装置 |
US7847938B2 (en) | 2007-10-01 | 2010-12-07 | Maskless Lithography, Inc. | Alignment system for optical lithography |
CN101675178A (zh) | 2007-11-30 | 2010-03-17 | 佳能安内华股份有限公司 | 基板处理设备及基板处理方法 |
TWI401331B (zh) | 2007-12-06 | 2013-07-11 | Intevac Inc | 雙面濺射蝕刻基板之系統與方法(二) |
US8138782B2 (en) | 2008-01-10 | 2012-03-20 | Applied Materials, Inc. | Photovoltaic cell solar simulator |
US20100111650A1 (en) * | 2008-01-31 | 2010-05-06 | Applied Materials, Inc. | Automatic substrate loading station |
US7843295B2 (en) | 2008-04-04 | 2010-11-30 | Cedar Ridge Research Llc | Magnetically attachable and detachable panel system |
US8602707B2 (en) | 2008-05-30 | 2013-12-10 | Alta Devices, Inc. | Methods and apparatus for a chemical vapor deposition reactor |
US8795466B2 (en) * | 2008-06-14 | 2014-08-05 | Intevac, Inc. | System and method for processing substrates with detachable mask |
DE102008037387A1 (de) | 2008-09-24 | 2010-03-25 | Aixtron Ag | Verfahren sowie Vorrichtung zum Abscheiden lateral strukturierter Schichten mittels einer magnetisch auf einem Substrathalter gehaltenen Schattenmaske |
WO2010042577A2 (en) * | 2008-10-07 | 2010-04-15 | Applied Materials, Inc. | Advanced platform for processing crystalline silicon solar cells |
CN102246274A (zh) | 2008-10-10 | 2011-11-16 | 奥塔装置公司 | 用于气相沉积的同轴喷头 |
KR20110069852A (ko) | 2008-10-10 | 2011-06-23 | 알타 디바이씨즈, 인크. | 연속적인 공급 화학 기상 증착 |
JP5134495B2 (ja) * | 2008-10-16 | 2013-01-30 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
US8349645B2 (en) | 2008-10-21 | 2013-01-08 | Ulvac, Inc. | Mask and film formation method using the same |
KR101202346B1 (ko) | 2009-04-16 | 2012-11-16 | 삼성디스플레이 주식회사 | 박막 증착용 마스크 프레임 조립체, 그 제조 방법 및 유기 발광 표시 장치의 제조 방법 |
ITUD20090214A1 (it) | 2009-11-24 | 2011-05-25 | Applied Materials Inc | Effettore d'estremita' per la manipolazione di substrati |
CN102549190A (zh) | 2009-08-26 | 2012-07-04 | 佳能安内华股份有限公司 | 成膜设备 |
JP2011049507A (ja) * | 2009-08-29 | 2011-03-10 | Tokyo Electron Ltd | ロードロック装置及び処理システム |
KR101206250B1 (ko) | 2009-10-13 | 2012-11-28 | 주식회사 엘지화학 | 식각 마스크 패턴 형성용 페이스트 및 이의 스크린 인쇄법을 이용한 실리콘 태양전지의 제조방법 |
US20110141448A1 (en) | 2009-11-27 | 2011-06-16 | Nikon Corporation | Substrate carrier device, substrate carrying method, substrate supporting member, substrate holding device, exposure apparatus, exposure method and device manufacturing method |
KR101110268B1 (ko) * | 2010-04-30 | 2012-02-16 | 삼성전자주식회사 | 로터리 유니온을 구동하는 공압 공급관의 꼬임을 방지하는 화학 기계식 연마시스템 |
KR101152598B1 (ko) * | 2010-05-31 | 2012-06-04 | 주식회사 테스 | 기판처리장치 |
JP5582895B2 (ja) | 2010-07-09 | 2014-09-03 | キヤノンアネルバ株式会社 | 基板ホルダーストッカ装置及び基板処理装置並びに該基板ホルダーストッカ装置を用いた基板ホルダー移動方法 |
EP2423350B1 (en) | 2010-08-27 | 2013-07-31 | Applied Materials, Inc. | Carrier for a substrate and a method for assembling the same |
US9837294B2 (en) * | 2011-09-16 | 2017-12-05 | Persimmon Technologies Corporation | Wafer transport system |
US8378318B1 (en) | 2011-11-18 | 2013-02-19 | Varian Semiconductor Equipment Associates, Inc. | Fixed mask design improvements |
WO2013106442A1 (en) | 2012-01-10 | 2013-07-18 | Hzo, Inc. | Masks for use in applying protective coatings to electronic assemblies, masked electronic assemblies and associated methods |
KR102104688B1 (ko) | 2012-04-19 | 2020-05-29 | 인테벡, 인코포레이티드 | 태양 전지 제조를 위한 이중 마스크 장치 |
KR102072872B1 (ko) | 2012-04-26 | 2020-02-03 | 인테벡, 인코포레이티드 | 진공 처리용 시스템 아키텍처 |
US10062600B2 (en) | 2012-04-26 | 2018-08-28 | Intevac, Inc. | System and method for bi-facial processing of substrates |
US9082799B2 (en) | 2012-09-20 | 2015-07-14 | Varian Semiconductor Equipment Associates, Inc. | System and method for 2D workpiece alignment |
CN106460164B (zh) | 2014-02-20 | 2019-02-22 | 因特瓦克公司 | 用于衬底的双面处理的系统及方法 |
TWI662646B (zh) | 2014-08-05 | 2019-06-11 | 美商因特瓦克公司 | 植入用遮罩及其對準 |
-
2013
- 2013-04-26 KR KR1020147032318A patent/KR102072872B1/ko active IP Right Grant
- 2013-04-26 WO PCT/US2013/038530 patent/WO2013163622A1/en active Application Filing
- 2013-04-26 JP JP2015509204A patent/JP6231078B2/ja active Active
- 2013-04-26 TW TW102114999A patent/TWI518832B/zh not_active IP Right Cessation
- 2013-04-26 SG SG11201406893XA patent/SG11201406893XA/en unknown
- 2013-04-26 CN CN201380033430.7A patent/CN104582863B/zh active Active
- 2013-04-26 PT PT13780965T patent/PT2852469T/pt unknown
- 2013-04-26 MY MYPI2014703146A patent/MY170824A/en unknown
- 2013-04-26 US US13/871,871 patent/US9502276B2/en active Active
- 2013-04-26 EP EP13780965.3A patent/EP2852469B1/en active Active
-
2016
- 2016-10-03 US US15/284,450 patent/US10115617B2/en not_active Expired - Fee Related
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6083566A (en) * | 1998-05-26 | 2000-07-04 | Whitesell; Andrew B. | Substrate handling and processing system and method |
US20090194026A1 (en) * | 2008-01-31 | 2009-08-06 | Burrows Brian H | Processing system for fabricating compound nitride semiconductor devices |
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9525099B2 (en) | 2012-04-19 | 2016-12-20 | Intevac, Inc. | Dual-mask arrangement for solar cell fabrication |
US9502276B2 (en) | 2012-04-26 | 2016-11-22 | Intevac, Inc. | System architecture for vacuum processing |
US10062600B2 (en) | 2012-04-26 | 2018-08-28 | Intevac, Inc. | System and method for bi-facial processing of substrates |
US9543114B2 (en) | 2014-08-05 | 2017-01-10 | Intevac, Inc. | Implant masking and alignment system with rollers |
CN110828337A (zh) * | 2015-10-22 | 2020-02-21 | 朗姆研究公司 | 在原位从半导体处理模块移除和更换消耗部件的系统 |
CN109496348B (zh) * | 2016-09-12 | 2022-01-18 | 应用材料公司 | 半导体工艺设备 |
CN109496348A (zh) * | 2016-09-12 | 2019-03-19 | 应用材料公司 | 半导体工艺设备 |
CN106544638A (zh) * | 2016-12-09 | 2017-03-29 | 中国科学院半导体研究所 | 一种拼装型的掩模板装置 |
CN108385092B (zh) * | 2018-03-30 | 2020-03-31 | 威海畅享海天新材料科技有限公司 | 一种银及银涂层的无铬钝化液及其钝化方法 |
CN108385092A (zh) * | 2018-03-30 | 2018-08-10 | 何松 | 一种银及银涂层的无铬钝化液及其钝化方法 |
CN113353614A (zh) * | 2020-03-05 | 2021-09-07 | 株式会社快乐福 | 用于折叠二次电池电芯的处理的二次电池电芯传送装置 |
CN113353614B (zh) * | 2020-03-05 | 2022-09-13 | 株式会社快乐福 | 用于折叠二次电池电芯的处理的二次电池电芯传送装置 |
CN115652265A (zh) * | 2022-12-08 | 2023-01-31 | 常州夸克涂层科技有限公司 | 多弧离子镀用输送系统及多弧离子镀膜工艺 |
Also Published As
Publication number | Publication date |
---|---|
US9502276B2 (en) | 2016-11-22 |
TWI518832B (zh) | 2016-01-21 |
PT2852469T (pt) | 2019-07-31 |
CN104582863B (zh) | 2016-09-21 |
KR20150051935A (ko) | 2015-05-13 |
EP2852469A4 (en) | 2015-09-02 |
US20130287526A1 (en) | 2013-10-31 |
MY170824A (en) | 2019-09-04 |
JP6231078B2 (ja) | 2017-11-15 |
US10115617B2 (en) | 2018-10-30 |
JP2015521373A (ja) | 2015-07-27 |
US20170025300A1 (en) | 2017-01-26 |
KR102072872B1 (ko) | 2020-02-03 |
TW201401412A (zh) | 2014-01-01 |
SG11201406893XA (en) | 2014-11-27 |
EP2852469B1 (en) | 2019-04-24 |
WO2013163622A1 (en) | 2013-10-31 |
EP2852469A1 (en) | 2015-04-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN104582863A (zh) | 用于真空处理的系统结构 | |
CN106460164B (zh) | 用于衬底的双面处理的系统及方法 | |
US10679883B2 (en) | Wafer plate and mask arrangement for substrate fabrication | |
US10062600B2 (en) | System and method for bi-facial processing of substrates | |
CN104685095B (zh) | 用于制造太阳能电池的双掩模装置 | |
JP6816132B2 (ja) | 基板製造のためのウエハプレートおよびマスク器具 | |
TWI678755B (zh) | 於一真空系統處理一遮罩裝置之方法、用以於一真空系統中處理一遮罩裝置之遮罩處理組件、用以沈積一材料於一基板上之真空系統及於一真空系統中處理數個遮罩裝置之方法 | |
TW201404700A (zh) | 太陽電池夾具用靜電荷移除 | |
JP2018531510A6 (ja) | 基板製造のためのウエハプレートおよびマスク器具 | |
CN103988290A (zh) | 工件处理系统以及工件处理方法 | |
WO2017104826A1 (ja) | 真空処理装置 | |
CN108122809A (zh) | 基板处理系统 | |
JPWO2012140799A1 (ja) | 成膜装置 | |
US20180161808A1 (en) | Film-forming method |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |