CN109496348A - 半导体工艺设备 - Google Patents

半导体工艺设备 Download PDF

Info

Publication number
CN109496348A
CN109496348A CN201780045571.9A CN201780045571A CN109496348A CN 109496348 A CN109496348 A CN 109496348A CN 201780045571 A CN201780045571 A CN 201780045571A CN 109496348 A CN109496348 A CN 109496348A
Authority
CN
China
Prior art keywords
substrate
processing chamber
processing
chamber housing
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780045571.9A
Other languages
English (en)
Other versions
CN109496348B (zh
Inventor
K·嘉纳基拉曼
H·K·博尼坎帝
J·C·罗查-阿尔瓦雷斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN109496348A publication Critical patent/CN109496348A/zh
Application granted granted Critical
Publication of CN109496348B publication Critical patent/CN109496348B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G54/00Non-mechanical conveyors not otherwise provided for
    • B65G54/02Non-mechanical conveyors not otherwise provided for electrostatic, electric, or magnetic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles
    • B65G2201/0235Containers
    • B65G2201/0261Puck as article support

Abstract

公开一种基板运输系统,并且所述基板运输系统包括:腔室,所述腔室具有内壁;平面电机,所述平面电机安置在所述内壁上;和基板载体,所述基板载体磁性地耦接到所述平面电机。所述基板载体包括:基部;和基板支撑表面,所述基板支撑表面耦接到以悬臂定向从所述基部延伸的支撑构件。

Description

半导体工艺设备
技术领域
本文公开的实施方式总的来说涉及用于传送和处理基板的半导体工艺设备,所述基板诸如在电子器件的制造中所使用的半导体基板。
背景技术
通常使用多个处理腔室将电子器件形成在半导体基板上,其中每个处理腔室用于完成各个步骤中的一个或多个(例如,沉积、蚀刻、退火以及其它工艺)以形成电子器件。基板传送系统通常用于在处理腔室中的每一个之间移动基板。可将处理腔室的压力维持在真空或接近真空。用于基板传送系统的两种常见布置包括群集工具布置和线性布置。
使用群集工具布置的基板传送系统包括由不同的处理腔室围绕的中心传送区域。中心传送区域可连接到装载锁定腔室以便在从基板传送系统供应和移除基板时维持基板传送系统内的真空环境。中心传送区域或者传送腔室通常包括固定机器人,所述机器人围绕中心轴旋转以将基板移动到装载锁定腔室和从装载锁定腔室移动以及在处理腔室之间移动。这些常规的机器人通常限于一次仅传送一个或者两个基板。由于需要机器人旋转并延伸到处理腔室中和延伸出处理腔室外,因此常规的机器人还可能造成群集工具的占地面积大。这些类型的传统机器人也可以是颗粒来源,这是不希望的。
线性布置的基板传送系统通常包括具有顶表面的传送带,在所述传送带的一侧(或相对的两侧)上有处理腔室。传送带可以连接到装载锁定腔室以便在从基板传送系统供应和移除基板时维持基板传送系统内的真空环境。可将一个或多个机器人定位在处理腔室中的每一个的附近以便在传送带与处理腔室之间传送基板。线性基板传送系统中所使用的传送带可以是颗粒产生的来源,这是不希望的。另外,传送带通常需要定期的和相关的维护例程以保证传送带正常地运行。另外,传送带一次仅能在一个方向上移动,这会限制基板在传送带上的移动,这减少了产量。
因此,需要最小化颗粒产生和占地面积以及增大产量的经改进的基板传送系统。
发明内容
本公开内容的实施方式总的来说提供一种用于运输和/或处理基板的系统。在一个实施方式中,所述系统包括:腔室,所述腔室具有内壁;平面电机,所述平面电机安置在所述内壁上;和基板载体,所述基板载体磁性地耦接到所述平面电机。所述基板载体包括:基部;和基板支撑表面,所述基板支撑表面耦接到以悬臂定向从所述基部延伸的支撑构件。
在另一个实施方式中,提供一种用于处理基板的系统,所述系统包括:处理腔室,所述处理腔室定位在第一层级处并在一对升降机之间延伸;返回腔室,所述返回腔室在不同于所述第一层级的第二层级处耦接到所述一对升降机;和多个基板载体,所述多个基板载体配置为磁性地耦接到所述处理腔室、所述升降机和所述返回腔室中的每一者的内壁并适于移动到所述处理腔室中和移动到所述处理腔室外。
在另一个实施方式中,提供一种用于处理基板的系统,所述系统包括:多个升降机,所述多个升降机在第一方向上延伸;第一处理机架,所述第一处理机架在正交于所述第一方向的第二方向上延伸;和第二处理机架,所述第二处理机架在所述第二方向上延伸并大体上平行于所述第一处理机架,其中在所述第一处理机架与所述第二处理机架之间提供服务空间。所述处理机架中的每一个处理机架包括:一个或多个处理腔室,所述一个或多个处理腔室可操作地耦接到所述多个升降机;和返回腔室,所述返回腔室可操作地耦接到所述多个升降机。
在另一个实施方式中,提供一种用于在基板传送系统中移动基板的方法。所述方法包括:将所述基板置放在基板载体的基板支撑表面上;沿着安置在正交于所述第一平面的第二平面中的第一平面电机的表面在第一平面中悬浮和移动所述基板载体;悬浮所述基板载体并将所述基板载体移动到第一处理腔室中;和在所述第一处理腔室中处理所述基板载体上的所述基板。可以通过调整由所述第一平面电机中的线圈产生的磁场来提供所述悬浮和所述移动。
附图说明
为了能够详细地理解本公开内容的上述特征的方式,可以通过参考实施方式对以上简要地概述的本公开内容进行更具体的描述,这些实施方式中的一些在附图中说明。然而,应注意,附图仅说明了本公开内容的典型实施方式,并且因此不应视为对其范围进行限制,因为本公开内容可允许其它同等有效的实施方式。
图1是示出基板传送系统的一个实施方式的基板制造工具的一个实施方式的等轴视图。
图2是基板传送系统的另一个实施方式的示意性横截面图。
图3A是载体和一部分内壁的一个实施方式的等轴视图。
图3B是图3A的载体的横截面图。
图4和图5分别是图2中示出的升降机的内壁的部分以及工艺区域的内壁的等距视图。
图6A是基板制造工具的另一个实施方式的示意性俯视平面图。
图6B是图6A的基板制造工具的处理站中的一个处理站的示意性横截面图。
图6C为可以是图6A的基板制造工具的处理站的一部分的处理腔室的一个实施方式的示意性横截面图。
图7A是具有基板传送系统的基板制造工具的另一个实施方式的示意性俯视平面图。
图7B是图7A的基板制造工具的处理站中的一个处理站的示意性横截面图。
图7C是图7B的工艺区域的一部分的示意性横截面图。
图8A是腔室主体的一个实施方式的示意性等轴视图。
图8B是示出处理腔室的细节的沿着图8A的线8B-8B的腔室主体的横截面图。
图9A是腔室主体的另一个实施方式的示意性等轴视图。
图9B是沿着图9A的线9B-9B的腔室主体的横截面图。
图9C是沿着图9A的线9C-9C的腔室主体的一部分的横截面图。
为了便于理解,在可能的情况下,已使用共用字词来表示各图中共有的相同元件。构想到,在一个实施方式中公开的元件可以有益地用于其它实施方式而无需具体叙述。
具体实施方式
本公开内容总的来说涉及用于在处理腔室之间传送半导体基板的半导体工艺设备。更具体地,本文中公开的实施方式涉及用于使用采用了一个或多个磁悬浮器件的运输器件在处理腔室之间传送半导体基板的系统。
使用磁悬浮在处理腔室之间运输基板提供了众多优点。磁悬浮能够实现具有经减少的占地面积的设计,因为在一些实施方式中通常用于将基板传送进和传送出处理腔室的机器人可以被移除。减少基板传送系统的占地面积可以减少基板器件制造的资金成本。减少基板传送系统的占地面积还可以减少系统的操作成本和维护成本。减少基板传送系统的大小还可减少与工具在半导体代工厂中将占用的占地面积相关联的成本。
另外,相比于具有移动部分和真空相容的润滑脂(其可能在真空环境中产生颗粒以及脱气)的机械系统,当使用磁悬浮器件来运输基板时将产生颗粒减少以及较少的污染。例如,常规的中心传送带(或机器人)在处理腔室之间运输基板的移动可产生颗粒。所产生的颗粒和污染可能负面地影响产品质量并且在某些情况下可减少产量。可替代地,使用磁悬浮器件使基板传送工具(机器人和/或传送带)与基板传送系统的其余部分之间的接触量最小化。
图1是根据一个实施方式的具有基板传送系统105的基板制造工具100的一个实施方式的等轴视图。基板传送系统105包括一个或多个线性处理机架110A和110B。可以通过可用于维护的服务空间115将处理机架110A、110B分开。服务空间115表示常规基板传送系统的传送腔室将被定位的区域或体积。然而,服务空间115包括在检修基板传送系统105时允许维护人员的访问的宽度尺寸W。
处理机架110A、110B中的每一个处理机架包括以堆叠配置(在Z方向上)安置在一个或多个层级120A至120C中的多个处理腔室118。处理机架110A、110B中的每一个处理机架耦接到装载锁定腔室125和工厂接口130。处理机架110A、110B中的每一个处理机架中的处理腔室118可以如所示出地在X方向上顺序地对准。装载锁定腔室125可以定位在服务空间115中。通过基板制造工具100在多个载体135上传送基板(未示出)。载体135磁悬浮并且在不接触基板传送系统105的壁或其它表面的情况下移动通过基板传送系统105。
载体135中的每一个载体被示出为在耦接到处理机架110A、110B的相对末端的升降机140A、140B中。虽然仅示出了两个载体135,但是基板传送系统105可以包括超过处理腔室118的数目的诸多载体以便提供最大的产量。载体135中的每一个载体在升降机140A、140B中至少在Z方向上是可移动的。然而,载体135在处理腔室118以及升降机140A、140B内是可移动的并且可移动通过处理腔室118以及升降机140A、140B。
处理腔室118可以是沉积腔室、蚀刻腔室、退火腔室、灰化腔室或用于电子器件制造中的工艺的任何腔室的任何组合。沉积腔室的示例包括配置为执行化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、物理气相沉积(PVD)、原子层沉积(ALD)等等的腔室。处理腔室118可以配置为执行相同的工艺或配方,或者执行不同的工艺或配方。每个处理机架110A、110B中的处理腔室118可以配置为分批地处理或顺序地处理基板。
在操作中,将包含在工厂接口130中的基板通过装载锁定腔室145的端口145(图1中仅示出了一个端口)传送到升降机140A、140B中的载体135。在其上具有基板的载体135被移动到处理机架110A、110B并且通过处理腔室118。在一个示例中,载体135在+Z方向上移动到层级120A,并且其上保留有基板的载体135从升降机110A横向地被移动到定位在层级120A上的处理腔室118。接着将其上保留有基板的载体135横向地(+X方向)移动到定位在层级120A上的处理腔室118中的每一个处理腔室或通过定位在层级120A上的处理腔室118中的每一个处理腔室。层级120A上的处理腔室118中的每一个处理腔室可以对在所述处理腔室中的载体135上安置的基板执行相同的工艺或不同的工艺。
在处理了层级120A上的基板之后,在其上具有基板的载体135移动到升降机140B中。载体135和相应的基板接着在-Z方向上移动到层级120B。接着将载体135横向地(-X方向)移动到定位在层级120B上的处理腔室118中的每一个处理腔室或通过定位在层级120B上的处理腔室118中的每一个处理腔室。层级120B上的处理腔室118中的每一个处理腔室可以对在所述处理腔室中的载体135上安置的基板执行相同的工艺或不同的工艺。层级120B上的处理腔室118中的每一个处理腔室可以执行相同的工艺或不同的工艺,如同层级120A上的处理腔室118那样。
在处理了层级120B上的基板之后,在其上具有基板的载体135移动到升降机140A中。载体135和相应的基板接着在-Z方向上移动到层级120C。接着将载体135横向地(+X方向)移动到定位在层级120C上的处理腔室118中的每一个处理腔室或通过定位在层级120C上的处理腔室118中的每一个处理腔室。层级120C上的处理腔室118中的每一个处理腔室可以在安置于其中的载体135上的基板上执行相同的工艺或不同的工艺。层级120C上的处理腔室118中的每一个处理腔室可以执行相同的工艺或不同的工艺,如同层级120A或120B上的处理腔室118那样。
在处理了层级120C上的基板之后,在其上具有基板的载体135移动到升降机140B中。载体135和相应的基板接着在-Z方向上朝向返回腔室150(图一中仅示出了一个返回腔室150)移动。接着将载体135朝向升降机140A横向地(-X方向)移动通过返回腔室150。当载体135被传送到升降机140A时,可以将载体135定位成邻近装载锁定腔室125的端口145以便使基板返回到工厂接口130。
图2是基板传送系统200的另一个实施方式的示意性横截面图。可以将基板传送系统200用在图1的基板制造工具100中作为处理机架,诸如处理机架110A。根据此实施方式的载体135可以经由相应载体135与基板传送系统200的表面(诸如基板传送系统200的内壁202、204)之间的磁吸引力而选择性地停止和移动。
类似于图1,基板传送系统200包括多个处理腔室118和升降机140A、140B。层级120A上的处理腔室118被传送端口205(诸如狭缝阀)环绕和分开。层级120A的处理腔室118可以配置用于单个基板处理,并且传送端口205将每个处理腔室118的处理容积分开。载体135中的每一个载体支撑基板210,并且每个载体135被指引移动通过层级120A的每个处理腔室118。所述移动可以是从升降机140A到升降机140B,或是从升降机140B到升降机140A,以处理载体135上的基板210。在一个配置中,在与处理腔室118相同的平面中对准传送端口205,这节省了空间。
基板传送系统200也包括层级120B上的多个工艺区域215。工艺区域215的末端通过诸如狭缝阀之类的传送端口205与升降机140A、140B的内部体积225分开。相邻的工艺区域215通过气帘220分开。每个气帘220可以包括有效地将每个工艺区域215分开的惰性气体的层流气流。层级120B的工艺区域215可以配置用于在被支撑于载体135上的基板210上的空间沉积处理。例如,层级120B上的工艺区域215可以包括沉积源225A和225B。沉积源225A和225B可以是分别在相应的工艺区域215中的基板210上沉积前驱物A和前驱物B的线性源。载体135中的每一个载体支撑基板210,并且每个载体被指引移动通过层级120B的每个工艺区域215,从而使得前驱物A和B被沉积在基板210的部分上。所述移动可以是从升降机140A到升降机140B,或是从升降机140B到升降机140A,以处理载体135上的基板210。
基板传送系统200还包括层级120C上的单个工艺区域230。工艺区域230的末端通过诸如狭缝阀之类的传送端口205与升降机140A、140B的内部体积225分开。层级120C的工艺区域230可以配置用于在被支撑在载体135上的基板210上的动态沉积处理。例如,层级120C上的工艺区域230可以包括沉积源235A至235F。沉积源235A至235F可以是线性源,所述线性源在载体135行进通过工艺区域230时在基板210上沉积不同的前驱物。所述移动可以是从升降机140A到升降机140B,或是从升降机140B到升降机140A,以处理载体135上的基板210。
在基板210在层级120A至120C中的一个层级或所有层级中被处理之后,其上具有相应基板210的载体135被传送到装载锁定腔室125并被传送到工厂接口130(均在图1中示出)。在一些配置中,其上具有基板210的载体135可以返回通过返回腔室150。在一些配置中,基板传送系统200可以包括可用于监控基板210上的膜厚度和/或膜质量的计量器件240。计量器件240可以被定位为在基板穿过返回腔室150时监控基板。返回腔室150还可以用于在基板被传送到装载锁定腔室125之前冷却基板。
基板传送系统105和基板传送系统200的一个优点在于:在一种配置中,基板210中的每一个基板保留在载体135的表面上。因此,在一种配置中,每个基板210与载体135从装载锁定腔室125(示出于图1中)开始并且在传送通过层级120A至120C期间被有效地配对,并且当基板210返回到装载锁定腔室125时从同一载体135上卸下。例如,基板210仅在装载锁定腔室125(示出于图1中)处被传送到载体135,并且基板210保留在同一载体135上直到基板210在处理之后于装载锁定腔室处从同一载体135被移除为止。这通过节省当多次传送基板时所需的时间而提高了效率。这也使得移动部分最小化,其又使得颗粒产生最小化。
图3A是载体135和一部分的内壁202的一个实施方式的等距视图。内壁202可以是图2中示出的处理腔室118、工艺区域215和/或工艺区域230的表面。图3B是图3A的载体135的横截面图。
基板载体135包括基部300和基板支撑表面305。支撑构件303可以以悬臂方式从基部延伸,以支撑基板支撑表面305。在一些配置中,基部300包括凹陷区域310(示出于图3B中),基板210可以定位在凹陷区域310中。基部300包括多个磁体315。在一些配置中,可以将多个磁体315布置成使得它们形成海尔贝克(Halbach)阵列或者其它类似的配置。基板载体135可以由诸如铝之类的非磁性材料形成。在基板传送系统105或200的一些配置中,将制造基板载体135的材料选择为包括还能承受高处理温度的材料是有益的。在一个示例中,基板载体135是由陶瓷材料(例如,氧化铝、氮化铝、石英、氧化锆等)制成。在一些情况下,基板载体135可以涂覆有导电涂层以在基板传送系统105或200内的处理期间解决基板载体135中或基板载体135上的任何电荷聚积问题。
图4和图5分别是图2中示出的升降机140A、140B的内壁204的部分以及工艺区域230的内壁202的等距视图。参考图3A、图4和图5,内壁202和/或204包括具有线圈325的布置的平面电机320。可以将平面电机320安置在板330(诸如,含非磁性材料的板,例如铝板)的下方。板330将线圈325的布置与基板传送系统105或200的内部分开。板330还允许通过线圈325的布置所产生的磁场使用多个磁体315与基板载体135的位置相互作用并控制基板载体135的位置。控制器335和到线圈325的布置中的每个线圈的功率连接(未示出)可用于调整由每个线圈产生的磁场。控制器335可以用于使每个基板载体135在X、Y和Z方向中的一个或多个方向上以及在其一个或多个平面中移动。例如,每个基板载体135可以在对角线上横向地向上、向下或横向地侧向移动跨越内壁202、204。控制器335还可以使得每个基板载体135停在沿内壁202、204的任何位置处。
基板载体135在一个末端处以悬臂结构耦接到基部300。基板载体135的悬臂布置提供了胜于从其下表面或其边缘支撑的常规基板载体的许多益处。益处包括基板210在X、Y和Z方向上的移动以及将磁体315与处理腔室118内的反应区以及工艺区域215或230(示出于图2中)间隔开。因此,磁体315不受处理腔室118以及工艺区域215或230内的射频能量、热量或其它条件影响。
在一些实施方式中,每个线圈325可在线圈325的布置中与其它线圈325分开一定距离,以提供对悬浮的基板载体135相对于平面电机320的移动和定向的一致控制。基板载体135的基部300中的多个磁体315可以是以与线圈325的布置互补的布置定位的永磁体的阵列或矩阵。可以在X-Y平面中以互补定向对永磁体的阵列或矩阵以及线圈325的布置进行排列。在一个示例中,线圈325的布置内的个体的线圈325被缠绕,使得当向所述线圈325供应功率时产生的(一个或多个)磁场与由在磁体315中的每一个磁体内所发现的永磁体创建的所产生的磁场相互作用。可使用基板载体135中的磁体315的特定图案来控制基板载体135相对于线圈325的固定布置的移动和定向。
图6A是具有基板传送系统605的基板制造工具600的另一个实施方式的示意性俯视平面图。基板制造工具600包括通过服务空间115分开的多个线性处理腿610A和610B。基板制造工具600还包括多个工厂接口130和装载锁定腔室125。基板制造工具600还包括在基板制造工具600的每个末端上的升降机140A、140B以及定位在处理站620之间的升降机615。
图6B是图6A的基板制造工具600的处理站620中的一个的示意性横截面图。升降机615不仅可以起到在层级625与如上所述的返回腔室150之间传送基板载体135的作用,而且还用于处理腿610A和610B之间的交叉传送。当一个或多个处理站620被关闭(例如,用于维护)时,交叉传送功能可能是有用的。处理站620中的每一个处理站可以包括通过如图6B中示出的传送端口205分开的多个处理腔室118。
图6C为可以是图6A的处理站620的一部分的处理腔室118的一个实施方式的示意性横截面图。处理腔室118包括可以由侧壁(例如,壁202)界定并且由传送端口205选择性地密封的内部体积630。处理腔室118还包括与加热器640相对的处理堆635。处理堆635包括气块645,气块645耦接到区隔板650。区隔板650可以耦接到面板655,面板655可以是喷头或气体分配板。面板655可以耦接到电源660,电源660可以是射频(RF)电源。电源660可用于点燃从内部体积630中的气块645供应的前驱物气体的等离子体。
图7A是具有基板传送系统705的基板制造工具700的另一个实施方式的示意性俯视平面图。基板制造工具700包括通过服务空间115分开的多个线性处理腿710A和710B。基板制造工具700还包括多个工厂接口130和装载锁定腔室125。基板制造工具700还包括位于基板制造工具700的每个末端上的升降机140A、140B以及定位在一个或多个处理站620之间的升降机615。基板制造工具700还包括沿基板制造工具700的长度以各种间隔定位的升降机715。在所示出的配置中,升降机715与升降机615交替。
图7B是图7A的基板制造工具700的处理站620中的一个处理站的示意性横截面图。升降机140A(以及140B)和升降机625起到在层级625与如上所述的返回腔室150之间传送基板载体135的作用。升降机615可以用于处理腿710A与710B之间的交叉传送。如图7B所示出,处理站620中的每一个处理站可以包括通过传送端口205在每个末端处选择性地密封的工艺区域230。工艺区域230可以配置用于多个基板210的分批处理。
图7C是图7B的工艺区域230的一部分的示意性横截面图。工艺区域230包括可由侧壁(例如,壁202)界定并由在工艺区域230的每个末端上的传送端口205选择性地密封的内部体积715。工艺区域230还包括多个线性源720,并且每个线性源720与加热器640相对。在一种配置中,线性源720和加热器640是矩形而不是圆形。其上具有基板210的基板载体135可以在处理期间往复运动(相对于线性源720与加热器640横向地移动(例如,在X方向和/或Y方向上移动))。
线性源720中的每一个线性源包括处理堆725,处理堆725可以包括气块730,气块730耦接到区隔板735。区隔板735可以耦接到面板740,面板740可以是喷头或气体分配板。面板740可以耦接到电源660,电源660可以用于点燃从内部体积715中的气块730供应的前驱物气体的等离子体。
图8A是腔室主体800的一个实施方式的示意性等距视图。可以将腔室主体800用于图1的基板制造工具100或者图2的基板传送系统200中,作为处理腔室118。
腔室主体800包括多个双腔室805,所述多个双腔室805中的每一个双腔室具有两个处理腔室118。腔室主体800还包括多个气体面板810。腔室主体800还可以包括远程等离子体发生器815、RF源(诸如高频RF发生器820A和低频RF发生器820B)。腔室主体800还可以包括用于调谐处理腔室118中的每一个处理腔室中的等离子体的RF匹配单元。传送端口205(图8A中仅示出一个)耦接到腔室主体800的侧面。在一些配置中,腔室主体800包括控制器830,控制器830可以控制将在下文更详细解释的RF施加器835(示出于图8B中)的致动。双腔室805中的每一个双腔室可以同时地(即,分批地)或者单独地处理两个基板。
图8B是示出处理腔室118细节的沿着图8A的线8B-8B的腔室主体800的横截面图。处理腔室118包括气箱840、区隔板845和与加热器855相对的面板850。隔离阀860可以用于选择性地密封处理容积865。隔离阀860可以是类似于上文所述的传送端口205的狭缝阀,并且允许基板载体135(示出一个)穿过隔离阀860。与真空泵(未示出)耦接的泵送端口870可用于从处理容积865排出气体。隔离阀860可用于将处理容积865与腔室主体800的其它处理腔室118隔离,或者将双腔室805彼此隔离。
在一种配置中,加热器855包括开口875,RF施加器835可在所述开口875处接触作为基板载体135一部分的导电构件880。当基板载体135定位在处理容积865内时,可以由电机885在Z方向上致动RF施加器835以接触基板载体135的导电构件880。RF施加器835的移动可以受图3A的控制器830控制。因此,在处理期间基板载体135可以用作RF电极。
图9A是腔室主体900的另一个实施方式的示意性等轴视图。可以在图1的基板制造工具100或者图2的基板传送系统200中使用腔室主体900作为工艺区域230。腔室主体900包括多个线性源905。传送端口205耦接到腔室主体900的侧面。
图9B是沿着图9A的线9B-9B的腔室主体900的横截面图,而图9C是沿着图9A的线9C-9C的腔室主体900的一部分的横截面图,图9B和图9C示出了工艺区域230。线性源900中的每一个线性源可以包括气箱910,气箱910耦接到区隔板915。区隔板915可以耦接到面板920,面板920可以是喷头或气体分配板。面板920可以耦接到电源660,电源660可以用于点燃从工艺区域230的内部体积925中的气箱910供应的前驱物气体的等离子体。面板920与加热器930相对,加热器930也可以充当RF返回电极。与真空泵(未示出)耦接的泵送板935可用于从内部体积925排出气体。其上具有基板210的每个基板载体135可以在处理期间往复运动(相对于线性源720与加热器930横向地移动(例如,在X方向和/或Y方向上移动))。开口940可以形成于腔室主体900的侧壁945中。开口940可用于使可在线性源720之间形成气帘的净化气体流动。
虽然前文针对的是本公开内容的实施方式,但是可以在不脱离本公开内容的基本范围的情况下设计本公开内容的其它的实施方式和另外的实施方式,并且本公开内容的范围由以下权利要求确定。

Claims (15)

1.一种基板运输系统,包括:
腔室,所述腔室具有内壁;
平面电机,所述平面电机安置在所述内壁上;
基板载体,所述基板载体磁性地耦接到所述平面电机,所述基板载体包括:
基部;和
基板支撑表面,所述基板支撑表面耦接到以悬臂定向从所述基部延伸的支撑构件。
2.如权利要求1所述的系统,其特征在于,所述腔室包括多个处理腔室。
3.如权利要求1所述的系统,其特征在于,所述腔室包括线性工艺区域,所述线性工艺区域具有多个沉积源。
4.如权利要求1所述的系统,其特征在于,所述腔室可操作地耦接到具有内壁的升降机,并且平面电机安置在所述升降机的内壁上。
5.如权利要求4所述的系统,其特征在于,所述升降机可操作地耦接到具有内壁的返回腔室,并且平面电机安置在所述返回腔室的内壁上。
6.一种用于处理基板的系统,包括:
处理腔室,所述处理腔室定位在第一层级处并且在一对升降机之间延伸;
返回腔室,所述返回腔室在不同于所述第一层级的第二层级处耦接到所述一对升降机;和
多个基板载体,所述多个基板载体配置为磁性地耦接到所述处理腔室、所述升降机和所述返回腔室中的每一者的内壁并适于移动到所述处理腔室中和移动到所述处理腔室外。
7.如权利要求6所述的系统,其特征在于,所述处理腔室包括多个处理腔室。
8.如权利要求7所述的系统,其特征在于,所述处理腔室中的每一个处理腔室通过用于使所述基板载体在其之间移动的传送端口而选择性地分开。
9.如权利要求6所述的系统,其特征在于,所述处理腔室包括工艺区域。
10.如权利要求9所述的系统,其特征在于,所述工艺区域通过一个或多个气帘分开。
11.如权利要求6所述的系统,其特征在于,所述处理腔室、所述升降机和所述返回腔室中的每一者的所述内壁包括平面电机。
12.如权利要求6所述的系统,其特征在于,所述多个基板载体中的每一个包括:
基部;和
基板支撑表面,所述基板支撑表面耦接到以悬臂方式从所述基部延伸的支撑构件。
13.如权利要求12所述的系统,其特征在于,所述基部含有一个或多个磁体。
14.一种用于处理基板的系统,包括:
在第一方向上延伸的多个升降机;
第一处理机架,所述第一处理机架在正交于所述第一方向的第二方向上延伸;和
第二处理机架,所述第二处理机架在所述第二方向上延伸并大体上平行于所述第一处理机架,其中服务空间被提供在所述第一处理机架与所述第二处理机架之间,并且其中所述处理机架中的每一个处理机架包括:
一个或多个处理腔室,所述一个或多个处理腔室可操作地耦接到所述多个升降机;和
返回腔室,所述返回腔室可操作地耦接到所述多个升降机。
15.如权利要求14所述的系统,其特征在于,进一步包括:
多个基板载体,所述多个载体配置为磁性地耦接到所述处理腔室、所述升降机和所述返回腔室中的每一者的内壁并适于移动到所述处理腔室中和移动到所述处理腔室外。
CN201780045571.9A 2016-09-12 2017-09-06 半导体工艺设备 Active CN109496348B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662393420P 2016-09-12 2016-09-12
US62/393,420 2016-09-12
PCT/US2017/050191 WO2018048842A1 (en) 2016-09-12 2017-09-06 Semiconductor process equipment

Publications (2)

Publication Number Publication Date
CN109496348A true CN109496348A (zh) 2019-03-19
CN109496348B CN109496348B (zh) 2022-01-18

Family

ID=61560747

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780045571.9A Active CN109496348B (zh) 2016-09-12 2017-09-06 半导体工艺设备

Country Status (4)

Country Link
US (1) US10483141B2 (zh)
KR (1) KR20180029907A (zh)
CN (1) CN109496348B (zh)
WO (1) WO2018048842A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109699190B (zh) * 2017-08-24 2023-04-28 应用材料公司 在真空处理系统中非接触地传输装置及方法
CN112840447A (zh) 2018-10-04 2021-05-25 应用材料公司 运输系统
US20230085987A1 (en) * 2020-03-02 2023-03-23 Lam Research Corporation Linear arrangement for substrate processing tools
US11521870B2 (en) * 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1754250A (zh) * 2003-02-26 2006-03-29 株式会社尼康 曝光装置、曝光方法以及器件制造方法
JP2011115021A (ja) * 2009-11-30 2011-06-09 Nikon Corp 平面モータ装置及びステージ装置並びに露光装置
CN102473588A (zh) * 2009-07-03 2012-05-23 应用材料公司 基材处理系统
US20130287526A1 (en) * 2012-04-26 2013-10-31 Intevac, Inc. System architecture for vacuum processing
US20140377040A1 (en) * 2007-11-12 2014-12-25 Intevac, Inc. Elevator linear motor drive
CN105826218A (zh) * 2015-01-23 2016-08-03 应用材料公司 半导体工艺设备

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120058630A1 (en) * 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
TW201327712A (zh) * 2011-11-01 2013-07-01 Intevac Inc 以電漿處理太陽能電池晶圓之系統架構
CN104471697B (zh) * 2011-12-27 2018-03-16 因特瓦克公司 用于组合式静态和经过处理的系统体系结构
US9828192B2 (en) * 2014-07-25 2017-11-28 Robert Bosch Gmbh Conveying arrangement

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1754250A (zh) * 2003-02-26 2006-03-29 株式会社尼康 曝光装置、曝光方法以及器件制造方法
US20140377040A1 (en) * 2007-11-12 2014-12-25 Intevac, Inc. Elevator linear motor drive
CN102473588A (zh) * 2009-07-03 2012-05-23 应用材料公司 基材处理系统
JP2011115021A (ja) * 2009-11-30 2011-06-09 Nikon Corp 平面モータ装置及びステージ装置並びに露光装置
US20130287526A1 (en) * 2012-04-26 2013-10-31 Intevac, Inc. System architecture for vacuum processing
CN104582863A (zh) * 2012-04-26 2015-04-29 因特瓦克公司 用于真空处理的系统结构
CN105826218A (zh) * 2015-01-23 2016-08-03 应用材料公司 半导体工艺设备

Also Published As

Publication number Publication date
CN109496348B (zh) 2022-01-18
US10483141B2 (en) 2019-11-19
WO2018048842A1 (en) 2018-03-15
US20180076075A1 (en) 2018-03-15
KR20180029907A (ko) 2018-03-21

Similar Documents

Publication Publication Date Title
US10734265B2 (en) Semiconductor process equipment
CN109496348A (zh) 半导体工艺设备
US9524896B2 (en) Apparatus and methods for transporting and processing substrates
US10062600B2 (en) System and method for bi-facial processing of substrates
EP3108030B1 (en) System and method for bi-facial processing of substrates
US10204810B2 (en) Linear vacuum robot with Z motion and articulated arm
TWI571953B (zh) 真空處理裝置
US9502276B2 (en) System architecture for vacuum processing
JP2020115558A (ja) 基板処理のための混合プラットフォームの装置、システム、及び方法
KR101088289B1 (ko) 탑재대, 처리 장치 및 처리 시스템
TW201327712A (zh) 以電漿處理太陽能電池晶圓之系統架構
KR102355962B1 (ko) 기판을 이송하기 위한 장치, 그러한 장치의 기판 캐리어에 적합화된 수용 플레이트를 갖는 처리 장치, 기판을 이송하기 위한 그러한 장치를 사용하여 기판을 프로세싱하는 방법, 및 처리 시스템
CN108122809A (zh) 基板处理系统
CN104616955A (zh) 一种等离子体加工设备
JPH07122616A (ja) 半導体製造装置
KR101718540B1 (ko) 기판을 이송 및 처리하는 장치 및 방법
KR101578081B1 (ko) 기판처리시스템
TW452832B (en) Semiconductor manufacturing apparatus
KR20230137827A (ko) 기판 처리 시스템
KR20190085503A (ko) 기판 처리 시스템
KR20150109318A (ko) 기판처리시스템
JPH1154494A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant