CN102741995A - 基板保持用具、基板输送装置及基板处理装置 - Google Patents

基板保持用具、基板输送装置及基板处理装置 Download PDF

Info

Publication number
CN102741995A
CN102741995A CN2011800082877A CN201180008287A CN102741995A CN 102741995 A CN102741995 A CN 102741995A CN 2011800082877 A CN2011800082877 A CN 2011800082877A CN 201180008287 A CN201180008287 A CN 201180008287A CN 102741995 A CN102741995 A CN 102741995A
Authority
CN
China
Prior art keywords
substrate
mentioned
jut
keeps
semiconductor crystal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800082877A
Other languages
English (en)
Inventor
广木勤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102741995A publication Critical patent/CN102741995A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/04Arrangements of vacuum systems or suction cups
    • B65G2249/045Details of suction cups suction cups

Abstract

本发明提供基板保持用具、基板输送装置及基板处理装置。基板保持用具也不受基板的背面状态、翘曲的影响,即使基板的位置在输送体的载置面上有一些偏移,也能以正确的姿势稳定地保持基板。在该基板保持用具(50)保持半导体晶圆(W)的周缘部时,该基板保持用具(50)的垫主体(52)上的一部分草状突起部(54)的隐藏在半导体晶圆(W)之下,其余的草状突起部(54)暴露出到半导体晶圆(W)之外。并且,隐藏在半导体晶圆(W)之下的突起部(54)与半导体晶圆(W)的背面(WB)接触,使半导体晶圆(W)在重力的作用下下沉恰好的深度,主要沿纵向保持半导体晶圆(W)。另外,在半导体晶圆(W)的周缘部附近暴露出的突起部(54)中的若干突起部(54)与半导体晶圆(W)的侧面(WS)接触,主要沿横向保持半导体晶圆(W)。

Description

基板保持用具、基板输送装置及基板处理装置
技术领域
本发明涉及用于将被处理基板保持在输送臂上的基板保持用具、使用该基板保持用具的单张式的基板输送装置和基板处理装置。
背景技术
现如今,在半导体器件、FPD(Flat Panel Display,平板显示器)的生产线上,具有采用对被处理基板(半导体晶圆和玻璃基板等)一张一张地进行处理的单张式的处理方式的工序。特别是,最近为了谋求工艺的连贯化、连结化或复合化,沿输送系统的输送通路或在该输送通路的周围汇集配置多台处理单元的多重单张式的串联(inline)处理系统正在增多。
在这种单张式处理单元用或多重单张式串联处理系统用的输送系统中,使用以一张为单位保持基板并相对于各处理单元以一张为单位输入或输出基板的单张式的基板输送装置。通常,这种基板输送装置构成为输送机器人,具备以一张为单位正面朝上地载置基板并能进行旋转运动、升降移动及进退移动的1支或几支输送臂。
这种基板输送装置的输送臂具有基板保持部(保持用具或保持机构),该基板保持部在臂上保持正面朝上姿势的基板,以防基板在输送过程中错位或落下。以往的这种基板保持部采用背面摩擦方式、锥形垫(taper pad)方式、沉入方式和真空吸附方式。
在背面摩擦方式中,在输送臂的上表面或载置面上分散地安装多个板片状或块状的保持垫。并且,将基板正面朝上地载置在上述保持垫之上,利用基板的背面与垫表面之间的摩擦力来保持基板(例如参照专利文献1的图8及其说明文)。这种保持垫的材质采用弹性体和陶瓷等。
在锥形垫方式中,将多个具有锥形侧面的(纵截面为梯形的)保持垫以围绕基板的方式隔开规定间隔并分散地安装在输送臂的载置面上。并且,以使基板的周缘部卡定于上述保持垫的锥形侧面的方式正面朝上地保持基板(例如参照专利文献1的图4及其说明文)。在该方式中,在输送臂的载置面之上,基板从比保持垫的顶面高的位置沿锥形侧面沉入,在基板的重力和来自保持垫的反作用力平衡的锥形侧面的中途位置以线接触的方式保持基板。
在沉入方式中,沿着基板的轮廓形状将输送臂的拾取部形成为比该基板的轮廓形状稍大一些的叉状件,将向内侧突出地延伸的多个爪部以围绕基板的方式隔开规定间隔地安装在叉状件上。并且,使基板沿形成在上述爪部的内侧面上的倾斜面沉入至爪部的底面(载置面),在爪部的底面以面接触的方式正面朝上地保持基板(例如参照专利文献2的图4及其说明文)。
在真空吸附方式中,在输送臂的载置面设有多个吸附口,在上述吸附口之上载置基板的背面,使经由空气通路与该吸附口相连接的真空吸引装置进行抽真空,从而利用吸附口将基板吸附固定在输送臂上(例如参照专利文献2的图3及其说明文)。
先行技术文献
专利文献
专利文献1:日本特开2000-3951
专利文献2:日本特开2002-64057
在半导体器件、FPD的生产线上,基板输送技术在提高生产率的方面是重要的,基板输送装置的输送速度在日新月异地提高。特别是在多重单张式的串联处理系统所用的单张式基板输送装置中,在保持基板的输送臂的动作中,不仅滑动移动、升降移动在高速化,而且旋转运动也在不经意间高速化。另一方面,基板的大型化容易使输送臂的顶端部在基板的重力的作用下前倾。
在这种技术的背景下,用于在输送臂上保持正面朝上姿势的基板的基板保持部的性能或功能性逐渐成为左右基板输送装置的输送能力进而左右基板处理装置或处理系统的生产率的重要的要素技术。
对于这一点,上述那样的背面摩擦方式由于仅利用基板的背面与垫表面之间的摩擦力来保持基板,所以本质上基板保持力较弱,基板容易滑动而容易自输送臂脱落。还有基板的背面的状态左右摩擦系数、即保持力、难以在基板翘曲时保持基板等缺点。
另一方面,在锥形垫方式、沉入方式中,若在将基板载置在输送臂上时的位置产生偏移,则基板容易搁浅在垫或爪部之上而倾斜。当基板在输送臂上倾斜时,基板不仅容易脱落,而且即使不脱落,也难以用光学传感器检测出输送臂上的基板的位置,结果,在从该输送臂向其他基板支承部(例如处理单元内的基板载置台)移载基板时难以进行对位。
另外,在锥形垫方式中,需要在保持垫的锥形侧面与基板之间具有适度的摩擦系数,保持垫的材质多采用弹性体。当然,在对接受CVD(Chemical Vapor Deposition,化学气相沉淀)等高温处理的基板进行输送时,由于弹性体的耐热温度较低(约300℃),所以保持垫的材质使用陶瓷。虽然背面摩擦方式也有时适用,但在接触型的保持垫由陶瓷制成的情况下,基板容易滑动,难以稳定地保持基板。
在真空吸附方式中,虽然在基板保持力方面完全没有问题,但是输送臂的构造或基板输送装置的设备的繁杂化、高价格化成为实用上的大缺点,也有在减压的条件下动作的真空输送装置中不能工作这种使用上的限制。另外,还有微粒容易附着的问题。
发明内容
本发明解决了上述那样的以往技术的问题,提供基板保持用具、使用该基板保持用具的单张式的基板输送装置和基板处理装置,不必对输送臂等输送体进行特别的精加工就能简单且廉价地将该基板保持用具安装在输送臂等输送体上,该基板保持用具也不受基板的背面状态、翘曲影响,即使基板的位置在输送体的载置面上有一些偏移,也能以正确的姿势稳定地保持基板。
本发明的第1技术方案的基板保持用具安装在用于输送被处理基板的输送体的载置面上,与上述基板的基板周缘部接触来保持上述基板,其中,该基板保持用具具有:板状或块状的垫主体,其固定在上述输送体的载置面上;多个突起部,其自上述垫主体延伸,能够弹性变形,上述多个突起部中的一部分突起部保持上述基板的背面,上述多个突起部中的另一部分突起部保持上述基板的侧面。
本发明的第2技术方案的基板保持用具安装在用于将被处理基板正面朝上地载置而进行输送的输送体的载置面上,与上述基板的基板周缘部接触来保持上述基板,其中,该基板保持用具有:板状或块状的垫主体,其固定在上述输送体的载置面上;多个突起部,其自上述垫主体的上表面向上方延伸,能够弹性变形,上述多个突起部中的一部分突起部与上述基板的背面接触,主要沿与上述基板的板面垂直的方向保持上述基板,上述多个突起部中的另一部分突起部与上述基板的侧面接触,主要沿与上述基板的板面平行的方向保持上述基板。
在本发明的基板保持用具中,当在输送体的载置面上保持被处理基板的周缘部时,隐藏在基板之下的突起部保持基板的背面,在基板的周缘部附近暴露出的突起部中的若干个突起部保持基板的侧面。通过将突起部的形状、尺寸、配置密度和弹性力等作为参数,能够在纵向和横向的各方向上任意地调整基板保持力。
本发明的基板输送装置用于输送被处理基板,其中,该基板输送装置具有:输送基座部,其能在大气压或减压条件下的室内移动;输送臂,其搭载在上述输送基座部上,能够载置并支承上述基板;臂驱动部,其用于使上述输送臂在上述输送基座部上沿规定的方向移动;基板保持部,其安装在上述输送臂上,用于保持上述基板,该基板保持部包括本发明的基板保持用具。
本发明的基板处理装置具有:单张式的处理单元,其用于在大气压或减压的条件下对被处理基板实施规定的处理;基板输送机构,其具有安装有本发明的基板保持用具的输送臂,该基板输送机构将上述基板载置在上述输送臂上,输送上述基板,将上述基板输入上到述处理单元,或者自上述处理单元输出上述基板。
本发明的基板保持用具由于上述那样的结构和作用,而不必对输送臂等输送体进行特别的精加工就能简单且廉价地安装在该输送体上,也不受基板的背面状态、翘曲影响,即使基板的位置在输送体的载置面上有一些偏移,也能以正确的姿势稳定地保持基板。
本发明的基板输送装置通过使用本发明的基板保持用具,能够不受基板保持部的限制地任意且自由地选择在利用输送臂保持基板而输送该基板时的臂的姿势、臂的移动轨迹及输送速度,能够提高输送能力。
本发明的基板处理装置通过使用本发明的基板保持用具,能够改善输送效率,提高生产率。
附图说明
图1是表示本发明的一实施方式的基板处理装置的结构的俯视图。
图2是表示上述基板处理装置所使用的基板输送装置的输送臂的主要部分的外观结构的立体图。
图3是示意地表示第1实施例中的基板保持用具的外观结构的立体图。
图4是示意地表示上述基板保持用具的一作用的侧视图。
图5是示意地表示上述基板保持用具的一作用的侧视图。
图6是示意地表示上述基板保持用具的一作用的侧视图。
图7是示意地表示第1实施例的一变形例中的基板保持用具的外观结构的立体图。
图8是示意地表示第2实施例中的基板保持用具的外观结构的俯视图。
图9是表示上述基板保持用具的突起部的结构的立体图。
图10是示意地表示上述基板保持用具的结构的局部侧剖视图。
图11是示意地表示上述基板保持用具的一作用的侧视图。
图12是示意地表示上述基板保持用具的一作用的侧视图。
图13是表示第2实施例的一变形例中的基板保持用具的结构的图(侧视图及局部放大剖视图)。
图14是示意地表示第2实施例的第2变形例中的基板保持用具的外观结构的俯视图。
图15是放大表示上述第2变形例中的基板保持用具的外观结构的俯视图。
图16是表示上述第2变形例中的基板保持用具的结构的图(侧视图及局部放大剖视图)。
图17是表示在用于输送FPD用的矩形基板的输送臂上安装有实施方式的基板保持用具的例子的俯视图。
具体实施方式
下面,参照附图说明本发明的优选实施方式。
实施方式的基板输送装置及基板处理装置
图1和图2表示本发明的一实施方式的基板处理装置的结构。该基板处理装置构成为作为多重单张式串联处理系统的一种的组合设备方式(cluster tool)(多腔室型)的真空处理装置。
该组合设备方式的真空处理装置设置在无尘室内,在真空的平台(真空输送室)PH的周围呈簇状配置有6个真空工艺腔室(真空处理室)PC1、PC2、PC3、PC4、PC5、PC6和两个加载互锁腔室(加载互锁室)LLCa、LLCb,该真空的平台具有六边形的形状,该六边形的沿装置的进深方向延伸的一对边的长度约为其他的边的长度的2倍。
更详细而言,按照图中顺时针的顺序,两个工艺腔室PC1、PC2借助闸阀GV1、GV2与平台PH的第1长边相连结,1个工艺腔室PC3借助闸阀GV3与第1短边相连结,1个工艺腔室PC4借助闸阀GV4与第2短边相连结,两个工艺腔室PC5、PC6借助闸阀GV5、GV6与第2长边相连结,1个加载互锁腔室LLCa借助闸阀GVa与第3短边相连结,1个加载互锁腔室LLCb借助闸阀GVb与第4短边相连结。
各工艺腔室PC1~PC6与专用的真空排气装置(未图示)相连接,利用可变的压力将室内始终保持成减压状态。典型的工艺腔室在配置于室内的中央部的载置台10上载置被处理体、例如半导体晶圆W,使用规定的动力(处理气体和高频电力等)进行所需的单张处理、例如CVD、ALD(Atomic LayerDeposition,原子层沉积)或溅射等真空成膜处理、热处理、半导体晶圆表面的清洁处理、干蚀刻加工等。
平台PH与专用的真空排气装置(未图示)相连接,通常以恒定的压力将室内始终保持为减压状态。室内设有单张式的真空输送机器人(基板输送装置)16,该真空输送机器人具有能伸缩的一对输送臂12、14,且能进行滑动动作、旋转动作及升降动作。
加载互锁腔室LLCa、LLCb分别经由开闭阀与专用的真空排气装置(未图示)相连接,室内随时能够切换为大气压状态和真空状态中的任一种状态。从平台PH看来,加载互锁腔室LLCa、LLCb分别经由闸阀GVc、GVd在与平台PH相反的那一侧与大气压下的加载输送室LM相连结。在加载互锁腔室LLCa、LLCb的室内的中央部配置有用于对留置中的半导体晶圆W进行载置的交接台18。
与加载输送室LM相邻地设有加载部LP和对位机构ORT。加载部LP用于在其与外部输送车之间对能收纳例如1批25张半导体晶圆W的晶圆盒CR进行投入、取出。这里,晶圆盒CR构成为FOUP(Front open unified pod,前开式晶圆传送盒)、SMIF(Standard Mechanical Interface,标准机械接口)式盒子等。对位机构ORT用于使半导体晶圆W的凹口或定位边对位于规定的位置或方向。
设在加载输送室LM内的单张式的大气输送机器人(基板输送装置)20具有上下两层层叠的能伸缩的一对输送臂22、24,能够在线性电动机26的直线导轨28上沿水平方向移动,并且能够升降和旋转,该大气输送机器人20在加载部LP、定位边对位机构ORT和加载互锁腔室LLMa、LLMa这三者之间来回移动,一张一张地(或一批一批地)输送半导体晶圆W。在设于各晶圆盒CR的前表面的LP门25处于打开的状态下,该大气输送机器人20将半导体晶圆W输入到加载输送室LM内。直线导轨28例如利用由永磁铁构成的磁体、驱动用磁线圈和测量头(scalehead)等构成,根据来自控制部30的指令对大气输送机器人20进行直线运动控制。
这里,说明用于使投入到加载部LP的晶圆盒CR内的1张晶圆在该组合设备内接受一连串的处理的基本的晶圆输送顺序。
加载输送室LM内的大气输送机器人20自加载部LP上的晶圆盒CR取出1张半导体晶圆W,将该半导体晶圆W输送到对位机构ORT上而使该半导体晶圆W进行对位,当对位结束后,将该半导体晶圆W移送到加载互锁腔室LLMa、LLMb中的任一方(例如LLMa)。移送目的地的加载互锁腔室LLMa在大气压状态下接受半导体晶圆W,在该半导体晶圆W被输入到加载互锁腔室中之后,对室内抽真空,在减压状态下将半导体晶圆W交接到平台PH的真空输送机器人16。
真空输送机器人16使用输送臂12、14中的一个输送臂将自加载互锁腔室LLMa取出的半导体晶圆W输入到第一个工艺腔室(例如PM1)内。在工艺腔室PM1内,按照预先设定的制程程序以规定的工艺条件(气体、压力、电力和时间等)进行第1工序的单张处理。
在该第1工序的单张处理结束之后,真空输送机器人16自工艺腔室PM1输出半导体晶圆W,接着将所输出的该半导体晶圆W输入到第二个工艺腔室(例如PM2)内。在该第二个工艺腔室PM2内,也按照预先设定的制程程序以规定的工艺条件进行第2工序的单张处理。
在该第2工序的单张处理结束时,真空输送机器人16自第二个工艺腔室PM2输出半导体晶圆W,当有下一工序时,将所输出的该半导体晶圆W输入到第三个工艺腔室(例如PM3)内,当没有下一工序时,将该半导体晶圆W输送到加载互锁腔室LLMa、LLMb中的一方内。当在第三个以后的工艺腔室(例如PM5)内进行了处理的情况下,随后同样,在有下一工序时,也将半导体晶圆W输入到之后的工艺腔室(例如PM6)内,当没有下一工序时,也将半导体晶圆W返回到加载互锁腔室LLMa、LLMb中的一方内。
另外,通过平台PH的真空输送机器人16相对于其周围的各工艺腔室PM1~PM6或各加载互锁腔室LLMa、LLMb进行1次进入,平台PH的真空输送机器人16能够交替地使用一对输送臂12、14进行如下这样的拾取&放置动作:先从该组件中输出半导体晶圆W,然后将另一半导体晶圆W输入到该组件中以替换所输出的半导体晶圆W。
当如上所述那样将在组合设备内的多个工艺腔室PM1、PM2……内接受了一连串的处理的半导体晶圆W输入到加载互锁腔室的一方(例如LLMb)内时,将该加载互锁腔室LLMb的室内从减压状态切换为大气压状态。然后,加载输送室LM内的大气输送机器人20自大气压状态的加载互锁腔室LLMb取出半导体晶圆W,将该半导体晶圆W返回到相应的晶圆盒CR内。另外,也能够在期望的气氛下对在加载互锁腔室LLMa、LLMb中停留过程中的半导体晶圆W实施加热或冷却处理。
如上所述,该组合设备方式的真空处理装置利用减压状态下的平台PH将一张半导体晶圆W依次转移到多个工艺腔室内,从而能够以串联方式对该半导体晶圆W连续实施一连串的真空处理,特别是在真空薄膜形成加工中,能够在多个工艺腔室内连续地进行不同的成膜加工而以串联方式层叠形成期望的薄膜。
在该组合设备方式的真空处理装置内,在平台PH内沿该平台PH的长度方向平行地铺设有一对导轨32和滚珠丝杠机构34的进给丝杠36,利用滚珠丝杠机构34的直进驱动能够使真空输送机器人16在导轨32上滑动移动。滚珠丝杠机构34的进给丝杠36的一端与电动机38结合。
真空输送机器人16具有:输送基座部40,其进行滑动动作;臂伸缩驱动部42,其使输送臂12、14的拾取部12a、14a沿与旋转半径平行的方向进行往复直进移动或进退移动。臂伸缩驱动部42使由水平多关节机器人构成的输送臂12、14进行伸缩运动,进行上述那样的半导体晶圆W的输入输出动作或拾取&放置动作。臂伸缩驱动部42、基座部40内的旋转驱动部、升降驱动部和滚珠丝杠机构34(电动机38)的各动作由控制部30控制。
下面,说明在该组合设备方式的真空处理装置中应用于真空输送机器人16的输送臂12、14的、本发明的实施方式的基板保持用具。
真空输送机器人16的各输送臂12、14安装有用于稳定地保持半导体晶圆W的本发明的基板保持用具(在图1中未图示),以便能够在正面朝上地载置有半导体晶圆W的状态下任意且高速地进行旋转运动、升降移动或进退移动。
具体而言,如图2所示,在输送臂12(14)的叉状的拾取部12a(14a)的上表面或载置面上,在规定的部位、即叉状的拾取部12a、14a的基端部及顶端部隔开适当的间隔地以保持半导体晶圆W的周缘部的方式分散地安装有多个(图示的例子为4个)本发明的基板保持用具50。
通过在各输送臂12、14上安装后述的本发明的基板保持用具50,该真空输送机器人16能够不受基板保持部的限制地、任意且自由地选择在利用各输送臂12、14保持半导体晶圆W而输送该半导体晶圆W时的臂的姿势、臂的移动轨迹和输送速度,能够提高输送能力。
另外,通过提高在平台PH内运转的真空输送机器人16的输送能力,能够提高上述组合设备方式的真空处理装置的系统整体的生产率。
与基板保持用具有关的实施例1
图3表示本发明的第1实施例中的基板保持用具50的外观结构。图4~图6表示该基板保持用具50的作用。
该实施例中的基板保持用具50具有:垫主体52,其利用螺纹固定或粘接等方式固定在输送臂12(14)的载置面上;多个(优选为许多个或无数个)草状(特别类似于直毛型的人工草坪)突起部54,该多个突起部以恒定的尺寸及密度设在该垫主体52的上表面上。
如图2和图3所示,基板保持用具50以如下方式配置在输送臂12(14)的规定部位:当基板保持用具50保持半导体晶圆W的周缘部时,垫主体52上的一部分草状突起部54隐藏在半导体晶圆W之下,其余的草状突起部54暴露出到半导体晶圆W之外。
基板保持用具50的垫主体52形成为板片状(例如圆板状)或块状(例如圆柱状)。垫主体52的上表面优选与输送臂12(14)的载置面平行。垫主体52的材质也能够使用金属、陶瓷,但优选采用テフロン(特氟隆)(注册商标)、PEEK(商标名称)等树脂。
基板保持用具50的草状突起部54具有:基部54a,其固定在垫主体52的上表面上;接触部或自由端部54b,其自该基部54a向斜上方延伸,根据外力或外部能量(来自半导体晶圆W的重力、按压力和热能等)弹性变形或位移。
作为将突起部54的基部54a固定在垫主体52上的构造,例如,如图4所示,优选能够采用将基部54a像草的根茎那样以埋入的方式植设在垫主体52中的构造。或者也能够采用将突起部54与垫主体52一体成型的构造。
如图4所示,突起部54的接触部54b优选像草的叶片那样细长且顶端部形成为锥形状,优选在该接触部54b未与半导体晶圆W接触时其顶端部相对于与输送臂12(14)的载置面正交的方向倾斜(优选以30°~60°的角度),优选在该接触部54b与半导体晶圆W接触时其顶端部朝向该半导体晶圆W的轮廓的内侧。
另外,优选突起部54在外力的作用下能够分别独立地弹性变形,具有适度的刚性和弹性模量。特别是,作为突起部54的弹性功能,优选如下特性:如图5的(a)所示那样容易在来自上方的铅垂方向的力Fv的作用下挠曲(弹性模量小),另一方面如图5的(b)所示那样不易在来自侧面的水平方向的力FH的作用下挠曲(弹性模量大)。例如为了容易在铅垂方向的力Fv的作用下挠曲,使突起部54的顶端部较细即可,为了不易在水平方向的力FH的作用下挠曲,使突起部54的基部较粗即可。
作为突起部54的材质,优选其原料本身能够沿任意方向弹性变形的橡胶状弹性体,特别优选耐热性及耐药品性优异的氟化橡胶。或者也能够适当地使用根据形状而能沿规定的方向弹性变形的树脂、例如テフロン(特氟隆)(注册商标)、PEEK等。
当该实施例的基板保持用具50利用上述那样的突起部54的弹性功能在输送臂12(14)上以半导体晶圆W的周缘部将该半导体晶圆W载置在该基板保持用具50上时,如图4所示,在草状突起部54之上,半导体晶圆W以恰好的深度下沉到草状突起部54中。其下沉量D比半导体晶圆W的厚度T小,最优选为厚度T的一半左右(D=0.4T~0.6T)。另外,例如300mm口径的半导体晶圆W的厚度T=0.8mm。考虑到半导体晶圆W的翘曲、下沉不足时,使下沉量的上限值为半导体晶圆W的厚度左右。
这里,垫主体52上的草状突起部54中的隐藏在半导体晶圆W的下方的突起部54的各自的接触部54b与半导体晶圆W的背面WS接触,如图5的(a)所示,突起部54的接触部54b向下挠曲而克服来自半导体晶圆W的纵向的力(重力),主要沿纵向、即与半导体晶圆W的板面(或输送臂12、14的载置面)垂直的方向保持半导体晶圆W。另外,由于与半导体晶圆W的背面WS接触的突起部54的个数比较多而接触面积较大,所以也能获得一定程度的由接触摩擦产生的横向的保持力。
垫主体52上的草状突起部54中的在半导体晶圆W的周缘部附近暴露出的若干突起部54与半导体晶圆W的侧面WS接触,如图5的(b)所示,该突起部54的接触部54b以在横向上稍微后退的方式弹性变形,克服来自半导体晶圆W的横向的力(按压力),主要沿与半导体晶圆W的板面平行的方向保持半导体晶圆W。另外,由于与半导体晶圆W的侧面WS接触的突起部54的个数比较少而接触面积较小,所以纵向的接触摩擦或阻力较小。
另外,通常对半导体晶圆W的周缘部实施圆角加工,如图4所示,在草状突起部54中也有与该圆角部分的斜面WR接触的部分。这样与半导体晶圆W的周缘圆角斜面WR接触的草状突起部54在与半导体晶圆W的背面WB接触的突起部54和与半导体晶圆W的侧面WS接触的突起部54之间,位于与半导体晶圆W的背面WB接触的突起部54和与半导体晶圆W的侧面WS接触的突起部54的中间,且为中间程度的弹性变形姿势,沿与半导体晶圆W的板面垂直的方向及与半导体晶圆W的板面平行的方向保持半导体晶圆W。在这层意义上,半导体晶圆W的周缘圆角斜面WR具有晶圆侧面和晶圆背面这两方的面。
如图6所示,在为了向其他处移载半导体晶圆W而使半导体晶圆W自输送臂12(14)向上方分离时,之前因与半导体晶圆W的接触而弹性变形了的突起部54在弹性复原力的作用下恢复到原来(无负荷时)的状态或原姿势。
如上所述,该实施例的基板保持用具50包括:垫主体52,其固定在输送臂12(14)的载置面上;许多个草状突起部54,其设在该垫主体52的上表面上,在保持半导体晶圆W的周缘部时,垫主体52上的一部分草状突起部54隐藏在半导体晶圆W之下,其余的草状突起部54暴露出到半导体晶圆W之外。并且,隐藏在半导体晶圆W之下的突起部54与半导体晶圆W的背面WB接触,由于比较小的弹性模量而比较大幅地向下方变形或位移,使半导体晶圆W在重力的作用下下沉恰好的深度,主要沿纵向保持半导体晶圆W。另外,在半导体晶圆W的周缘部附近暴露出的突起部54中的若干突起部54与半导体晶圆W的侧面WS接触,由于较大的弹性模量而沿横向只稍微变形,主要沿横向保持半导体晶圆W。此外,在不与半导体晶圆W的侧面WS直接接触的突起部54中也存在从与半导体晶圆W的侧面WS接触的突起部54的背后支承该突起部54的突起部54,其数量并不少。通过将草状突起部54的粗细、高度、形状、配置密度、弹性力和半导体晶圆W的重量等作为参数,能够沿纵向及横向的各方向任意地调整晶圆保持力。
该实施例的基板保持用具50由于上述那样的结构和作用,而能够在输送臂12(14)上稳定可靠地保持正面朝上姿势的半导体晶圆W。
即,基板保持用具50由于使隐藏在半导体晶圆W之下的突起部54朝下方弹性位移而使半导体晶圆W以水平姿势下沉,所以即使将半导体晶圆W载置在输送臂12(14)上时的半导体晶圆W的位置有一些偏移,只要半导体晶圆W的周缘部载置在各基板保持用具50的一部分草状突起部54之上,就能将半导体晶圆W不倾斜地以水平姿势保持在基板保持用具50上。
在横向上,主要使一部分突起部54作用于半导体晶圆W的侧面WS(相接触)而利用弹性力保持半导体晶圆W,所以半导体晶圆W与突起部54之间的摩擦系数不重要,能够自由地选择突起部54的材质及形状。
在该实施例的基板保持用具50中,在各草状突起部54隐藏在半导体晶圆W之下的情况下,各草状突起部54沿纵向变形而沿纵向保持半导体晶圆W,在各草状突起部54在半导体晶圆W的外侧与晶圆侧面接触的情况下,各草状突起部54不怎么变形地沿横向保持半导体晶圆W。由此,即使半导体晶圆W的载置位置有一些偏移,各草状突起部54也能灵活地应对。
另外,由于半导体晶圆W的周缘部在自重的作用下在各基板保持用具50上下沉恰好的深度,所以即使半导体晶圆W发生了翘曲,也能与没有翘曲的情况同样地稳定可靠地保持半导体晶圆W。
另外,即使在输送过程中输送臂12(14)的拾取部前倾,也能利用基板保持用具50牢固且稳定地保持半导体晶圆W。以往,特别是在组合设备的处理装置中有如下的问题:真空输送装置的输送臂较长,在相对于工艺腔室输入或输出基板时,当输送臂伸长时容易在自重的作用下前倾,由此基板容易在臂上偏移。但是,在本实施方式中,由于基板保持用具50对输送臂12(14)上的半导体晶圆W沿横向也有充分大的保持力,所以即使输送臂12(14)前倾,也能防止半导体晶圆W的错位。
这样,不会使半导体晶圆W在输送臂12(14)上倾斜或错位。因而,当然不用说半导体晶圆W没有滑落的可能性,而且提高了用光学传感器对输送臂12(14)上的半导体晶圆W的位置进行检测的精度及可靠性。
此外,基板保持用具50只与半导体晶圆W的周缘部接触,所以即使由于在工艺腔室PM中进行的工艺而使半导体晶圆W的背面状态发生改变,基板保持用具50的晶圆保持力也完全不受其影响。
另外,在基板保持用具50中,作为保持垫,以能装卸或自由粘贴替换的方式简单且廉价地安装在输送臂12(14)的载置面的任意部位。在基板输送装置侧,不用对输送臂12(14)进行特别的精加工,也一概不需要用于切换基板保持的执行/停止(ON/OFF)的特别的控制装置。
实施例1的变形例
在上述的第1实施例中,也可以在基板保持用具50上使突起部54的形状、粗细不同。例如通过在基板保持用具50上将不载置半导体晶圆W的外侧的突起部54加粗(加强),能够可靠地防止输送中的半导体晶圆W的偏移。
另外,在上述的第1实施例中,将基板保持用具50的突起部54形成为类似直毛型的人工草坪的形体,但也能够形成为类似其他人工草坪的形态。或者也能够将突起部54形成为小薄片状,例如,如图7所示,能够适当地采用形成为鳞状的突起部56的结构。
在图7中,该鳞状突起部56自垫主体52的上表面向斜上方延伸,在外力、即来自半导体晶圆W的重力或按压力的作用下弹性地位移,在保持半导体晶圆W的方面,能够起到与上述草状突起部54同样的作用。
即,在保持半导体晶圆W的周缘部时,垫主体52上的一部分鳞状突起部56隐藏在半导体晶圆W之下,其余的鳞状突起部56暴露出到半导体晶圆W之外。并且,隐藏在半导体晶圆W之下的突起部54与半导体晶圆W的背面WB接触,使半导体晶圆W以水平姿势在重力的作用下恰好地下沉,主要沿纵向保持半导体晶圆W。另外,在半导体晶圆W的周缘部附近暴露出的突起部56中的若干突起部56与半导体晶圆W的侧面WS或周缘圆角斜面WR接触,由于较大的弹性模量而沿横向只稍微弹性位移,从而防止半导体晶圆W的横向偏移,主要沿横向保持半导体晶圆W。通过将鳞状突起部56的尺寸、高度、配置密度、弹性力和半导体晶圆W的重量等作为参数,能够沿纵向及横向的各方向任意地调整晶圆保持力。
与基板保持用具有关的实施例2
接下来,根据图8~图12说明本发明的第2实施例中的基板保持用具50的结构及作用。
如图8~图10所示,该第2实施例中的基板保持用具50包括:垫主体60,其利用例如螺栓58(图8)能装卸地固定在输送臂12(14)的载置面上;多个(优选许多个)突起部62,其以恒定的密度或间距林立地设在该垫主体60的上表面上,该基板保持用具50的特征在于,各突起部62具有金属制的弹簧构件64。
该基板保持用具50的突起部62具有例如锥形螺旋板弹簧作为弹簧构件64,在该锥形螺旋板弹簧64的上端部一体地覆盖有帽66(图9)。锥形螺旋板弹簧64以沿与输送臂12(14)的载置面垂直的方向延伸的方式设在垫主体60的上表面上,锥形螺旋板弹簧64的基端部以埋入的方式固定于垫主体60(图10)。
垫主体60可以具有与上述第1实施例的垫主体52相同的形状,可以由与垫主体52相同的材质构成。帽66优选具有不仅罩住锥形螺旋板弹簧64的上端部还罩住中间部的那样较长的圆筒部,作为帽66的原材料,例如能够适当地使用テフロン(特氟隆)(注册商标)、PEEK等树脂。可以使用全长为1cm以下的小型尺寸的锥形螺旋板弹簧64,也可以使用市售的商品或特别订货的商品中的任一种。
在本实施方式的基板保持用具50中,当在输送臂12(14)上以半导体晶圆W的周缘部将半导体晶圆W载置在该基板保持用具50上时,如图11或图12所示,半导体晶圆W在带弹簧的突起部62之上下沉恰好的深度。该下沉量D比半导体晶圆W的厚度T小,最优选为该厚度T的一半左右(D=0.4T~0.6T)。
这里,垫主体60上的带弹簧的突起部62中的隐藏在半导体晶圆W之下的突起部62利用帽66的顶部与半导体晶圆W的背面WS接触,锥形螺旋板弹簧64沿轴向压缩变形而克服来自半导体晶圆W的纵向的力(重力),主要沿纵向、即与半导体晶圆W的板面(或输送臂12、14的载置面)垂直的方向保持半导体晶圆W。
另外,垫主体60上的带弹簧的突起部62中的在半导体晶圆W的周缘部附近暴露出的突起部62中的若干突起部62在比帽66的顶部低的部位与半导体晶圆W的侧面WS或圆角斜面WR接触,克服来自半导体晶圆W的横向的力(按压力),主要沿与半导体晶圆W的板面平行的方向保持半导体晶圆W。
在该情况下,在帽66的圆筒状胴部与半导体晶圆W的侧面WS接触的带弹簧的突起部62几乎不受到轴向的载荷,所以锥形螺旋板弹簧64几乎不(或只稍微)压缩变形(图11)。另一方面,帽66的头部与半导体晶圆W的周缘圆角斜面WR接触的带弹簧的突起部62沿轴向受到载荷,所以锥形螺旋板弹簧64有一些压缩变形(图12)。
这样,本第2实施例中的基板保持用具50具有:垫主体60,其固定在输送臂12(14)的载置面上;许多个带弹簧的突起部62,其林立地设在该垫主体60的上表面上,在保持半导体晶圆W的周缘部时,垫主体52上的一部分带弹簧的突起部62隐藏在半导体晶圆W之下,其余的突起部62暴露出到半导体晶圆W之外。并且,隐藏在半导体晶圆W之下的带弹簧的突起部62与半导体晶圆W的背面WB接触,锥形螺旋板弹簧64沿轴向压缩变形,使半导体晶圆W在重力的作用下下沉恰好的深度,主要沿纵向保持半导体晶圆W。另外,在半导体晶圆W的周缘部附近暴露出的带弹簧的突起部62中的若干突起部62与半导体晶圆W的侧面WS或周缘圆角斜面WR接触,锥形螺旋板弹簧64在轴向上几乎不压缩变形或只稍微压缩变形,主要沿横向保持半导体晶圆W。通过将带弹簧的突起部62的直径、高度、帽的形状、配置密度、弹簧系数和半导体晶圆W的重量等作为参数,能够沿纵向及横向的各方向任意地调整晶圆保持力。
例如在半导体晶圆W为300mm口径的情况下,其重量为130g。在真空输送机器人16中,在将输送臂12(14)的移动速度假设为0.5m/sec且在1秒内停止时,停止时的加速度为0.5m/sec2,作用于半导体晶圆W的横向的力为130g×0.5m/sec2=65gm/sec2。若将与半导体晶圆W接触的突起部62中的克服该横向的力的突起部62的个数(在图2的例子中是在臂前部的两个基板保持用具50中的克服横向的力的突起部62的个数)设为例如20个,则每个的负荷约为3gm/sec2。因而,在横向上,以能承受约3gm/sec2的负荷的方式设计突起部62的强度即可。
在纵向上,半导体晶圆W的下沉量在半导体晶圆W的厚度(例如0.8mm)以下较好。在该情况下,若将承受半导体晶圆W的重量的突起部62的个数(在图2的例子中是在臂前部及后部的4个基板保持用具50中的承受半导体晶圆W的重量的突起部62的个数)设为240个,则每个的负荷为130g/240个=约0.5g/个。因而,在纵向上,以相对于约0.5g的负荷以0.8mm以下的下沉量可靠地向下方变形或位移的方式设计突起部62的弹性特性即可。
上述那样的突起部62的横向的强度及纵向的弹性特性也同样适用于上述第1实施方式的突起部54。
该第2实施例的基板保持用具50也能起到与上述第1实施例的基板保持用具同样的效果,不必对输送臂12(14)进行特别的精加工就能将基板保持用具50能装卸地简单且廉价地安装在输送臂12(14)上,也不受半导体晶圆W的背面状态、翘曲影响,即使半导体晶圆W的载置位置在输送臂12(14)的载置面上有一些偏移,也能以正确的姿势稳定地保持基板。
而且,第2实施例的基板保持用具50的突起部62的弹性位移由金属制的弹簧来进行,所以在基板保持的再现性、稳定性及耐久性方面有很大的优点。
此外,在利用金属、陶瓷形成了第2实施例的基板保持用具50的情况下,具有保持基板的保持力且耐热性较高的优点。另外,在考虑到金属污染的情况下,优选利用比半导体晶圆柔的原材料形成基板保持用具50,例如可列举出耐热性塑料。
此外,也可以使基板保持用具50的突起部62接地,以便能够去除半导体晶圆W的电荷。采用该结构,能够消除在等离子体处理后的带电的半导体晶圆W与处理装置内的构件等之间引起电火花的可能性。
实施例2的变形例1
在上述第2实施例的基板保持用具50中,突起部62的弹簧不限定于锥形螺旋板弹簧,例如也可以同样使用图13所示那样的压缩螺旋弹簧68。
该压缩螺旋弹簧68收容在沿纵向形成于垫主体52的上表面的锪孔70中,在自半导体晶圆W受到的载荷或按压力的作用下,该压缩螺旋弹簧68沿纵向、即与输送臂12(14)的载置面垂直的方向弹性变形。压缩螺旋弹簧68的下端固定在锪孔70的底部,压缩螺旋弹簧68的上端部被圆柱状的帽66覆盖。锪孔70的内壁构成:筒状引导部,其用于沿纵向引导帽66;止动件,其用于限制帽66的肩部66a(以及顶部)的上限位置。
实施例2的变形例2
接下来,根据图14~图16说明具有带弹簧的突起部62的第2实施例的第2变形例。图14表示该第2变形例中的基板保持用具50的外观结构,图15表示该基板保持用具50的放大图。
该第2变形例中的基板保持用具50也与上述第1变形例(图13)同样地包括:垫主体60,其利用例如螺栓58能装卸地固定在输送臂12(14)的上表面上;多个(优选为许多个)突起部62,其能够弹性位移,收容在该垫主体60的上表面的锪孔70中,各突起部62具有弹簧构件68。该第2变形例与上述第1变形例的主要的不同之处在于,大幅减少了突起部62的个数、以及对突起部62的配置图案进行了悉心研究。
更详细而言,如图15所示,将设在垫主体72的上表面上的突起部62的总数减少为例如数10个以下,降低配置密度,从而使承受半导体晶圆W的重量的突起部62的弹簧力减小。由此,能够使半导体晶圆W更加可靠地下沉。另外,如图16所示,例如也可以用实现与半导体晶圆W的厚度T相同的下沉量D的方式构成。通过使下沉量D增大到这种程度,即使半导体晶圆W发生翘曲、下沉不足,也能获得充分且稳定的晶圆保持力。
另外,如图15所示,在相对于直线N线对称的顶点朝向中心点O的V字形线上,以恒定的间隔配置多个突起部62,且沿直线N设有多列(图示的例子是两列)的V形图案,该直线N通过臂整体的载置面的中心点(或基准晶圆载置位置的中心点)O和垫主体60的中心。采用这种突起部配置图案,对于半导体晶圆W的错位,能够每隔一定间距p(例如p=0.2mm)地利用直线N上的1个突起部62或直线N的两侧的一对突起部62、62高效且稳定地保持半导体晶圆W的侧面,且能够使成为半导体晶圆W的垫部的所有的突起部62可靠地下沉至充分的深度。
在本实施例中,除此之外还有其他各种特征。例如,如图16所示,在形成于输送臂12(14)的上表面的凹部72中安装有垫主体60,由此实现了具有基板保持用具50的输送臂12(14)的薄型化。
也能够将垫主体60与输送臂12(14)制成为一体。由此,能够减少零件件数,能够容易地进行输送臂12(14)的清洗作业。
另外,为了在基板保持用具50上不损伤半导体晶圆W的背面,如图16所示,能够采用在突起部62的帽66的顶部形成有倒角加工部或圆角加工部66b的结构。或者,也能够采用在帽66的顶部以只有刚体的球的上部在该帽66的顶部暴露出的方式将该球能旋转地埋入到帽66的顶部的结构(球窝接头),该结构省略图示。
另外,如图16所示,优选使载置半导体晶圆W而下沉的突起部62不会完全下沉到垫主体60中(即,使帽66的顶部稍微突出到上方)。并且,为了使帽66能沿横向位移,适度地增大垫主体60的锪孔70内的侧部间隙。由此,随着半导体晶圆W的移动,承载该半导体晶圆W的突起部62也一起横向移动,从而能够进一步提高在横向上对半导体晶圆W进行保持的保持力。
关于基板保持用具50的材质,从耐久性的方面出发,优选金属,从耐药品性的方面出发,优选树脂(特别是テフロン(特氟隆)(注册商标)、PEEK(商标名称)),在耐热性方面,优选陶瓷(碳化硅、氧化铝)、石英、聚酰亚胺和碳等。特别是在使用陶瓷、碳的情况下,通过使用陶瓷弹簧、碳素弹簧,能够利用陶瓷或碳制成基板保持用具50的所有的零件或构件。
其他实施方式或变形例
以上说明了本发明的优选实施方式,但本发明不限定于上述实施方式,能够在本发明的技术构思的范围内获得其他实施方式或进行各种变形、变更。
例如在上述实施方式的组合设备方式的真空处理装置(图1)中,也可以在设在加载输送室LM内的单张式的大气输送机器人(基板输送装置)20的输送臂22、24上应用上述实施方式的基板保持用具50。
另外,作为本发明中的被处理基板,不限于半导体晶圆,还包含FPD(特别是有机EL、液晶面板)用的各种基板、光掩模和印刷基板等。因而,例如,如图17所示,能够在FPD用的基板输送装置的输送臂74上安装上述实施方式的基板保持用具50。
图17的输送臂74具有自臂主体76向前方平行延伸的一对外侧支承部78和一对内侧支承部80。这里,为了保持FPD用的矩形的基板G的左右两侧的周缘部,在比较短的外侧支承部78的顶端形成有向内侧突出的爪部78a,在该爪部78a的上表面上安装有基板保持用具50。另外,比较长的内侧支承部80的顶端部80a自矩形基板G的前部的周缘部稍微伸出,在该顶端部80a上也安装有基板保持用具50。此外,内侧支承部80的基端部80b自矩形基板G的后部的周缘部稍微伸出,在该基端部80b上也安装有基板保持用具50。另外,在臂主体76上到处形成的矩形或圆形的开口82是用于使输送臂74轻量化的孔。
这样,通过在输送臂74上安装基板保持用具50,FPD用的基板输送装置能够不受基板保持部的限制地任意且自由地选择在利用输送臂74保持矩形基板G而输送该矩形基板G时的臂的姿势、臂的移动轨迹和输送速度,能够提高输送能力。并且,通过提高基板输送装置的输送能力,能够提高FPD用的基板处理装置或多重单张式串联处理系统的生产率。
另外,在本发明的基板输送装置中,安装在输送臂上的基板保持用具不必全是本发明的基板保持用具,也可以并用以往的基板保持用具和本发明的基板保持用具。因而,例如在图2的输送臂12(14)或图17的输送臂74中,也能够只将安装在容易前倾的臂的顶端部的基板保持用具设为本发明的基板保持用具,而其他基板保持用具使用以往的例如锥形垫方式的基板保持用具。
另外,本发明的基板保持用具不限于基板输送装置的输送臂,能够应用在保持基板或板状体而进行输送或移动的任意的输送体或移动体上。在该情况下,在输送体或移动体上利用本发明的基板保持用具保持的基板或板状体未必一定限定于水平姿势、正面朝上姿势,也能够采取使主面或被处理面朝下的姿势、大幅倾斜的姿势,在极端情况下也能够采取铅垂姿势。
附图标记说明
12、14、输送臂;16、真空输送机器人;20、大气输送机器人;50、基板保持用具;52、垫主体;54、突起部;56、突起部;62、突起部。

Claims (31)

1.一种基板保持用具,其安装在用于输送被处理基板的输送体的载置面上,与上述基板的基板周缘部接触来保持上述基板,其中,
该基板保持用具具有:
板状或块状的垫主体,其固定在上述输送体的载置面上;
多个突起部,其自上述垫主体延伸,能够弹性变形,
上述多个突起部中的一部分突起部保持上述基板的背面,
上述多个突起部的中另一部分突起部保持上述基板的侧面。
2.一种基板保持用具,其安装在用于将被处理基板正面朝上地载置而进行输送的输送体的载置面上,与上述基板的基板周缘部接触来保持上述基板,其中,
该基板保持用具有:
板状或块状的垫主体,其固定在上述输送体的载置面上;
多个突起部,其自上述垫主体的上表面向上方延伸,能够弹性变形,
上述多个突起部中的一部分突起部与上述基板的背面接触,主要沿与上述基板的板面垂直的方向保持上述基板,
上述多个突起部中的另一部分突起部与上述基板的侧面接触,主要沿与上述基板的板面平行的方向保持上述基板。
3.根据权利要求1或2所述的基板保持用具,其中,
上述突起部具有弹簧构件。
4.根据权利要求3所述的基板保持用具,其中,
上述弹簧构件被以能沿与上述输送体的载置面垂直的方向弹性变形的方式安装。
5.根据权利要求3所述的基板保持用具,其中,
上述弹簧构件的至少基端部埋入到上述垫主体中。
6.根据权利要求3所述的基板保持用具,其中,
上述突起部具有用于覆盖上述弹簧构件的上端部的帽部。
7.根据权利要求6所述的基板保持用具,其中,
上述帽部具有用于覆盖上述弹簧构件的中间部的筒部。
8.根据权利要求6所述的基板保持用具,其中,
上述帽部由树脂构成。
9.根据权利要求7所述的基板保持用具,其中,
上述帽部由导电体构成,并电接地。
10.根据权利要求6所述的基板保持用具,其中,
在上述垫主体上设有用于沿与上述输送体的载置面垂直的方向引导上述帽部的筒状引导部。
11.根据权利要求6所述的基板保持用具,其中,
上述帽部以能沿与上述输送体的载置面平行的方向位移的方式设置。
12.根据权利要求1或2所述的基板保持用具,其中,
上述垫主体安装在凹部中,该凹部形成于上述输送体的载置面。
13.根据权利要求1或2所述的基板保持用具,其中,
上述垫主体与上述输送体形成为一体。
14.根据权利要求1或2所述的基板保持用具,其中,
在上述垫主体上,在V字形的线上以恒定的间隔配置有多个上述突起部,该V字形的线的顶点朝向上述输送体整体的载置面中心点。
15.根据权利要求1或2所述的基板保持用具,其中,
上述突起部形成为草状或小薄片状。
16.根据权利要求15所述的基板保持用具,其中,
上述突起部形成为鳞状。
17.根据权利要求15所述的基板保持用具,其中,
上述突起部的顶端部相对于与上述输送体的载置面正交的方向倾斜。
18.根据权利要求15所述的基板保持用具,其中,
上述突起部以该突起部的顶端部朝向载置在上述输送体上的上述基板的轮廓的内侧的方式形成。
19.根据权利要求15所述的基板保持用具,其中,
上述突起部的弹性如下:对于自上述基板受到的力,与上述基板的板面平行的方向上的弹性模量比与上述基板的板面垂直的方向上的弹性模量大。
20.根据权利要求15所述的基板保持用具,其中,
上述突起部的基部埋入到上述垫主体中。
21.根据权利要求15所述的基板保持用具,其中,
上述突起部是通过与上述垫主体一体成型而形成的。
22.根据权利要求15所述的基板保持用具,其中,
上述突起部由橡胶状弹性体构成。
23.根据权利要求22所述的基板保持用具,其中,
上述突起部由氟化橡胶构成。
24.根据权利要求15所述的基板保持用具,其中,
上述突起部由树脂构成。
25.根据权利要求1或2所述的基板保持用具,其中,
利用与上述基板的背面接触的上述突起部的弹性位移使上述基板的下沉深度比上述基板的厚度小。
26.根据权利要求1或2所述的基板保持用具,其中,
上述垫主体以在保持上述基板的周缘部时、上述多个突起部中的一部分突起部隐藏在上述基板之下、且上述多个突起部的其余部分暴露出到上述基板之外的方式配置在上述输送体的规定位置。
27.一种基板输送装置,其用于输送被处理基板,其中,
该基板输送装置具有:
输送基座部,其能在大气压或减压条件下的室内移动;
输送臂,其搭载在上述输送基座部上,能够载置并支承上述基板;
臂驱动部,其用于使上述输送臂在上述输送基座部上沿规定的方向移动;
基板保持部,其安装在上述输送臂上,用于保持上述基板,该基板保持部具有权利要求1或2所述的基板保持用具。
28.根据权利要求27所述的基板输送装置,其中,
上述输送臂能够在水平面内进行旋转运动,并且能够沿与旋转圆的半径平行的方向进行进退移动。
29.根据权利要求27所述的基板输送装置,其中,
上述基板保持用具能装卸地安装在上述输送臂上。
30.一种基板处理装置,其特征在于,
该基板处理装置具有:
单张式的处理单元,其用于在大气压或减压条件下对被处理基板实施规定的处理;
基板输送机构,其具有安装有权利要求1或2所述的基板保持用具的输送臂,该基板输送机构将上述基板载置在上述输送臂上,输送上述基板,将上述基板输入到上述处理单元或自上述处理单元输出上述基板。
31.根据权利要求30所述的基板处理装置,其中,
上述基板保持用具能装卸地安装在上述输送臂上。
CN2011800082877A 2010-02-05 2011-02-02 基板保持用具、基板输送装置及基板处理装置 Pending CN102741995A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010024283 2010-02-05
JP2010-024283 2010-02-05
PCT/JP2011/000576 WO2011096208A1 (ja) 2010-02-05 2011-02-02 基板保持具及び基板搬送装置及び基板処理装置

Publications (1)

Publication Number Publication Date
CN102741995A true CN102741995A (zh) 2012-10-17

Family

ID=44355223

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011800082877A Pending CN102741995A (zh) 2010-02-05 2011-02-02 基板保持用具、基板输送装置及基板处理装置

Country Status (6)

Country Link
US (2) US20120315113A1 (zh)
JP (1) JP5258981B2 (zh)
KR (1) KR101259862B1 (zh)
CN (1) CN102741995A (zh)
TW (1) TWI412101B (zh)
WO (1) WO2011096208A1 (zh)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107403744A (zh) * 2016-05-18 2017-11-28 佳能特机株式会社 基板搬送装置
CN107464772A (zh) * 2013-03-13 2017-12-12 瓦里安半导体设备公司 晶片支撑及校准设备
CN108706342A (zh) * 2018-07-30 2018-10-26 安徽旭能电力股份有限公司 一种可调式太阳能玻璃的防护输送设备
WO2018219217A1 (zh) * 2017-05-31 2018-12-06 上海微电子装备(集团)股份有限公司 一种移入移出机构及光刻机工件台移入移出装置
CN109003934A (zh) * 2017-06-06 2018-12-14 泰姆普雷斯艾普公司 晶圆夹持器组件、系统及其用途
CN109119372A (zh) * 2017-06-26 2019-01-01 日本特殊陶业株式会社 基板保持构件
CN109625970A (zh) * 2019-01-23 2019-04-16 深圳市华星光电技术有限公司 基板搬运机械手
CN109786312A (zh) * 2017-11-15 2019-05-21 福建钧石能源有限公司 一种太阳能电池载板
CN109835714A (zh) * 2017-11-29 2019-06-04 株式会社Umi 搬运用具、搬运方法以及搬运用具单元
CN110112588A (zh) * 2019-06-19 2019-08-09 广东电网有限责任公司 一种接地线五防系统
CN111334782A (zh) * 2020-02-28 2020-06-26 北京北方华创微电子装备有限公司 半导体设备及其电极装置
CN112682465A (zh) * 2019-10-17 2021-04-20 夏泰鑫半导体(青岛)有限公司 承载装置及半导体制造装置的加载部件
CN114430780A (zh) * 2019-10-04 2022-05-03 株式会社荏原制作所 基板支架以及基板处理装置
CN117721429A (zh) * 2024-02-08 2024-03-19 成都国泰真空设备有限公司 磁控溅射镀膜设备

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120305192A1 (en) * 2011-06-03 2012-12-06 Arthur Keigler Parallel single substrate processing fluid jet module
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN106373911B (zh) * 2011-09-22 2019-04-09 东京毅力科创株式会社 基板处理装置及基板处理方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP2791034B1 (en) * 2011-12-16 2021-01-27 Brooks Automation, Inc. Transport apparatus
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014093420A (ja) * 2012-11-02 2014-05-19 Toyota Motor Corp ウェハを支持ディスクに接着する治具、および、それを用いた半導体装置の製造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10297481B2 (en) * 2013-03-21 2019-05-21 Tokyo Electron Limited Magnetic annealing apparatus
US8864202B1 (en) * 2013-04-12 2014-10-21 Varian Semiconductor Equipment Associates, Inc. Spring retained end effector contact pad
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10312127B2 (en) * 2013-09-16 2019-06-04 Applied Materials, Inc. Compliant robot blade for defect reduction
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP5802735B2 (ja) * 2013-12-27 2015-11-04 ファナック株式会社 退避装置を備えた対象物搬送システム
KR101575129B1 (ko) * 2014-01-13 2015-12-08 피에스케이 주식회사 기판 이송 장치 및 방법, 그리고 기판 처리 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6219522B2 (ja) * 2014-07-29 2017-10-25 シャープ株式会社 基板処理装置
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6435795B2 (ja) * 2014-11-12 2018-12-12 株式会社ダイフク 物品搬送設備
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6456712B2 (ja) * 2015-02-16 2019-01-23 東京エレクトロン株式会社 基板保持機構及びこれを用いた基板処理装置
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
JP2017035743A (ja) * 2015-08-07 2017-02-16 日東電工株式会社 搬送装置
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
JP6777985B2 (ja) * 2015-11-19 2020-10-28 株式会社荏原製作所 基板保持装置
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN106856186B (zh) * 2015-12-08 2020-02-18 上海微电子装备(集团)股份有限公司 一种硅片交接精度控制装置、硅片吸附台、硅片传输系统及硅片交接方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6357187B2 (ja) * 2016-03-31 2018-07-11 キヤノン株式会社 搬送装置、リソグラフィ装置、および物品の製造方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
CN109791363B (zh) 2016-07-06 2021-01-08 Asml荷兰有限公司 衬底保持器和制造衬底保持器的方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6774714B2 (ja) * 2016-07-25 2020-10-28 株式会社アドテックエンジニアリング ワークステージ及び露光装置
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6700149B2 (ja) 2016-09-29 2020-05-27 株式会社Screenホールディングス 姿勢変更装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9975255B1 (en) * 2016-12-15 2018-05-22 Jabil Inc. Apparatus, system and method for providing a conformable vacuum cup for an end effector
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
CN110462811A (zh) * 2017-03-30 2019-11-15 夏普株式会社 吸附装置、搬运装置以及el设备制造装置
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10399231B2 (en) * 2017-05-22 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate handling contacts and methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
KR102492533B1 (ko) 2017-09-21 2023-01-30 삼성전자주식회사 지지 기판, 이를 이용한 반도체 패키지의 제조방법 및 이를 이용한 전자 장치의 제조 방법
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
JP7131334B2 (ja) * 2018-11-29 2022-09-06 株式会社安川電機 基板支持装置、基板搬送ロボットおよびアライナ装置
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102301114B1 (ko) * 2019-09-06 2021-09-10 주식회사 글린트머티리얼즈 이중 구조의 미끄럼 방지 패드 및 이를 구비한 웨이퍼 이송 로봇
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
KR20220027475A (ko) * 2020-08-27 2022-03-08 주식회사 글린트머티리얼즈 관통홀을 포함한 반도체 웨이퍼 이송용 로봇암 블레이드 및 이에 장착되는 미끄럼 방지 패드
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5984293A (en) * 1997-06-25 1999-11-16 Mcms, Inc. Apparatus for holding printed circuit board assemblies in manufacturing processes
CN101006574A (zh) * 2005-06-28 2007-07-25 东京毅力科创株式会社 被处理对象的搬送装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3097720B2 (ja) * 1993-03-29 2000-10-10 大日本スクリーン製造株式会社 基板支持アーム
US5626675A (en) * 1993-11-18 1997-05-06 Tokyo Electron Limited Resist processing apparatus, substrate processing apparatus and method of transferring a processed article
JP3437559B2 (ja) * 1993-12-24 2003-08-18 東京エレクトロン株式会社 処理装置
US5722646A (en) * 1995-08-29 1998-03-03 Cna Manufacturing Systems, Inc. Flexible tooling apparatus
JP2000003951A (ja) * 1998-06-16 2000-01-07 Tokyo Electron Ltd 搬送装置
US6726195B1 (en) * 1998-10-13 2004-04-27 Dek International Gmbh Method for ensuring planarity when using a flexible, self conforming, workpiece support system
US6056026A (en) * 1998-12-01 2000-05-02 Asyst Technologies, Inc. Passively activated valve for carrier purging
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20020071756A1 (en) * 2000-12-13 2002-06-13 Gonzalez Jose R. Dual wafer edge gripping end effector and method therefor
US6497403B2 (en) * 2000-12-28 2002-12-24 Memc Electronic Materials, Inc. Semiconductor wafer holder
JP2003077980A (ja) 2001-09-06 2003-03-14 Yaskawa Electric Corp ウェハ搬送用ハンド
JP4038653B2 (ja) * 2001-12-03 2008-01-30 株式会社安川電機 ウェハ搬送フォーク
US6824343B2 (en) * 2002-02-22 2004-11-30 Applied Materials, Inc. Substrate support
JP2005126814A (ja) * 2003-09-30 2005-05-19 Seiko Epson Corp 表面処理方法
US7490878B1 (en) * 2003-12-29 2009-02-17 Storage Technology Corporation ESD safe vacuum wand tip
DE102004029359B4 (de) * 2004-06-17 2006-08-03 Siemens Ag Vorrichtung zum Lagern eines Gegenstandes
US7286890B2 (en) * 2005-06-28 2007-10-23 Tokyo Electron Limited Transfer apparatus for target object
JP4954728B2 (ja) * 2007-01-26 2012-06-20 東京エレクトロン株式会社 ゲートバルブの洗浄方法及び基板処理システム
JP4740414B2 (ja) * 2007-04-24 2011-08-03 東京エレクトロン株式会社 基板搬送装置
JP4922915B2 (ja) * 2007-12-28 2012-04-25 大日本スクリーン製造株式会社 基板処理装置および基板の芯合わせ方法
KR101208644B1 (ko) * 2009-07-03 2012-12-06 도쿄엘렉트론가부시키가이샤 위치 이탈 방지 장치, 이를 구비한 기판 보지구, 기판 반송 장치 및 기판 반송 방법
JP5357694B2 (ja) * 2009-07-03 2013-12-04 東京エレクトロン株式会社 位置ずれ防止装置、これを備えた基板保持具、基板搬送装置および基板搬送方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5984293A (en) * 1997-06-25 1999-11-16 Mcms, Inc. Apparatus for holding printed circuit board assemblies in manufacturing processes
CN101006574A (zh) * 2005-06-28 2007-07-25 东京毅力科创株式会社 被处理对象的搬送装置

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107464772B (zh) * 2013-03-13 2020-06-05 瓦里安半导体设备公司 晶片支撑及校准设备
CN107464772A (zh) * 2013-03-13 2017-12-12 瓦里安半导体设备公司 晶片支撑及校准设备
CN107403744B (zh) * 2016-05-18 2022-04-29 佳能特机株式会社 基板搬送装置
CN107403744A (zh) * 2016-05-18 2017-11-28 佳能特机株式会社 基板搬送装置
WO2018219217A1 (zh) * 2017-05-31 2018-12-06 上海微电子装备(集团)股份有限公司 一种移入移出机构及光刻机工件台移入移出装置
CN108983552A (zh) * 2017-05-31 2018-12-11 上海微电子装备(集团)股份有限公司 一种移入移出机构及光刻机工件台移入移出装置
US10895814B2 (en) 2017-05-31 2021-01-19 Shanghai Micro Electronics Equipment (Group) Co., Ltd. Shifting-in/out mechanism, and shifting-in/out device used for workpiece table of photoetching machine
CN109003934A (zh) * 2017-06-06 2018-12-14 泰姆普雷斯艾普公司 晶圆夹持器组件、系统及其用途
CN109003934B (zh) * 2017-06-06 2024-03-19 泰姆普雷斯艾普公司 晶圆夹持器组件、系统及其用途
CN109119372B (zh) * 2017-06-26 2023-04-25 日本特殊陶业株式会社 基板保持构件
CN109119372A (zh) * 2017-06-26 2019-01-01 日本特殊陶业株式会社 基板保持构件
CN109786312A (zh) * 2017-11-15 2019-05-21 福建钧石能源有限公司 一种太阳能电池载板
CN109835714A (zh) * 2017-11-29 2019-06-04 株式会社Umi 搬运用具、搬运方法以及搬运用具单元
CN108706342A (zh) * 2018-07-30 2018-10-26 安徽旭能电力股份有限公司 一种可调式太阳能玻璃的防护输送设备
CN109625970A (zh) * 2019-01-23 2019-04-16 深圳市华星光电技术有限公司 基板搬运机械手
CN110112588A (zh) * 2019-06-19 2019-08-09 广东电网有限责任公司 一种接地线五防系统
CN114430780A (zh) * 2019-10-04 2022-05-03 株式会社荏原制作所 基板支架以及基板处理装置
CN112682465A (zh) * 2019-10-17 2021-04-20 夏泰鑫半导体(青岛)有限公司 承载装置及半导体制造装置的加载部件
CN111334782B (zh) * 2020-02-28 2022-05-27 北京北方华创微电子装备有限公司 半导体设备及其电极装置
CN111334782A (zh) * 2020-02-28 2020-06-26 北京北方华创微电子装备有限公司 半导体设备及其电极装置
CN117721429A (zh) * 2024-02-08 2024-03-19 成都国泰真空设备有限公司 磁控溅射镀膜设备
CN117721429B (zh) * 2024-02-08 2024-04-23 成都国泰真空设备有限公司 磁控溅射镀膜设备

Also Published As

Publication number Publication date
US9406539B2 (en) 2016-08-02
KR20120096599A (ko) 2012-08-30
TWI412101B (zh) 2013-10-11
JPWO2011096208A1 (ja) 2013-06-10
US20140093336A1 (en) 2014-04-03
US20120315113A1 (en) 2012-12-13
TW201227868A (en) 2012-07-01
KR101259862B1 (ko) 2013-05-02
WO2011096208A1 (ja) 2011-08-11
JP5258981B2 (ja) 2013-08-07

Similar Documents

Publication Publication Date Title
CN102741995A (zh) 基板保持用具、基板输送装置及基板处理装置
TWI518832B (zh) 真空處理系統架構
TWI696231B (zh) 基板的雙面加工系統及方法
US10062600B2 (en) System and method for bi-facial processing of substrates
TWI446477B (zh) 傳輸及處理基板用裝置及方法
KR102135466B1 (ko) 이송 장치
TWI523969B (zh) 薄膜沈積裝置及使用該薄膜沈積裝置製造有機發光顯示裝置的方法
TW201727812A (zh) 用以於一真空腔室中之一基板載體之傳送的設備、用以一基板之真空處理之系統、及用以於一真空腔室中之一基板載體之傳送的方法
JP5657948B2 (ja) 真空処理装置及び基板移載方法
US20090078374A1 (en) Apparatus and methods for transporting and processing substrates
JP2005136411A (ja) 基板の運搬システム及び運搬方法
KR20140069277A (ko) 얇은 유리 기판들을 위한 캐리어 및 그 이용
KR20070122073A (ko) 선입선출을 하는 버퍼 시스템
JP2008544485A (ja) 直線真空堆積システム
WO2017059373A1 (en) Wafer plate and mask arrangement for substrate fabrication
JP5984036B2 (ja) z運動し、多関節アームを備える直線真空ロボット
US20100326797A1 (en) Carrier for transporting solar cell substrates
KR100656182B1 (ko) 유기박막 소자의 양산 제작용 선형의 증착 공정 장치와 기판 이송 장치
WO2018176918A1 (zh) 传送装置、传送方法以及真空蒸镀装置
CN102956531B (zh) 被处理物的处理系统及被处理物的处理方法
KR101696482B1 (ko) 표시장치의 증착장치
JP2002151566A (ja) 基板搬送装置
KR102595812B1 (ko) 홀더, 적어도 2개의 홀더들을 포함하는 캐리어, 장치들 및 방법들
JP5578539B2 (ja) 基板搬送処理装置及び方法
TW200927625A (en) Conveying apparatus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20121017