TWI446477B - 傳輸及處理基板用裝置及方法 - Google Patents

傳輸及處理基板用裝置及方法 Download PDF

Info

Publication number
TWI446477B
TWI446477B TW096134558A TW96134558A TWI446477B TW I446477 B TWI446477 B TW I446477B TW 096134558 A TW096134558 A TW 096134558A TW 96134558 A TW96134558 A TW 96134558A TW I446477 B TWI446477 B TW I446477B
Authority
TW
Taiwan
Prior art keywords
chamber
processing system
wafer
processing
substrate processing
Prior art date
Application number
TW096134558A
Other languages
English (en)
Other versions
TW200832591A (en
Inventor
Terry Bluck
Kevin P Fairbairn
Michael S Barnes
Christopher T Lane
Original Assignee
Intevac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intevac Inc filed Critical Intevac Inc
Publication of TW200832591A publication Critical patent/TW200832591A/zh
Application granted granted Critical
Publication of TWI446477B publication Critical patent/TWI446477B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Description

傳輸及處理基板用裝置及方法
本發明係關於一種新穎之傳輸及處理基板用裝置及方法,尤指一種適用於傳輸及處理晶圓用之裝置及方法。
在半導體製程中,製造晶圓所使用的關鍵裝置之一是一種關於叢集的常見工具。典型的商業設備通常具有一圓形核心區域,沿著圓周附加有腔室。腔室環繞核心區域向外延伸。當處理晶圓時,其先從核心腔室圓周上的一輸出入站被移入核心腔室,然後從核心腔室進入一附加的或在圓周上的腔室,於其中執行處理。在此工具中,大體上如同現今使用之所有製造系統執行一次處理一晶圓。一晶圓可被移入一腔室處理,然後回到核心腔室。隨後可進一步移至另一圓周上的腔室,進行接下來之處理,再回到核心腔室。最後,當此晶圓完全處理完時係完整被移出此工具。再次經過一輸出入站或連接真空系統之腔室移出,真空系統通常被稱為裝載鎖,其是將晶圓從真空中移至大氣之處。美國專利號4,951,601中所描述之此種單元即為一例。
另一種工具係沿著一核心軸索引晶圓並經由周圍的處理腔室供給晶圓。在此工具中,所有的晶圓係被同時供給至下一處理停留處。儘管晶圓係被獨立處理,但其不可獨立移動。其全部停留於一處理站的時間是一樣的,但可獨立控制每個站的處理主題,直到允許停留的最大時間限度。雖然第一個描述的工具可以此種方式操作,然而事實上,其可移動晶圓以至於晶圓並無依序前進至相鄰的處理腔室,且並不要求晶圓存在處理腔室中的時間必須相同。
當此些系統之一操作時,核心區域通常為真空,但其亦可位於其他某些經預選或預定及被控制之環境。舉例來說,此核心區段可具有當前在處理腔室內用於執行處理的氣體。沿著核心區域外表面的腔室或隔間通常亦為真空,但亦可具有一預選及控制之氣體環境。以移動晶圓而言,其於真空中由核心腔室移至一附加腔室或隔間,所執行的處理通常亦在真空中。一般而言,一旦晶圓到達處理之腔室或隔間,腔室或隔間會從核心腔室封鎖。如此係為了防止處理腔室中使用的材料及/或氣體進入核心區域,以避免其附著於處理腔室與污染核心區域中的環境,及/或防止污染位於核心區域內等著被處理或更進一步處理的晶圓。如此亦允許處理腔室被設定在一相異於核心腔室內所使用的真空程度,以在腔室中進行特殊的處理。例如,假設一腔室之處理技術需要更高程度之真空,則在核心區域及腔室之間設置一密封墊,腔室本身可更進一步抽真空至符合在此腔室中所執行的特殊處理之要求。再則,若需要較低程度之真空,可增加氣壓而不影響核心腔室的氣壓。在晶圓處理完畢之後,晶圓係被移回至核心腔室然後離開系統。如此,晶圓可透過此工具依序通過腔室及所有可用的處理而行進。反之,晶圓可通過所選擇之腔室行進而僅暴露於所選擇的處理。
這些處理的變化亦使用於提供至此領域的設備。然而,其皆傾向於依賴一對各種處理來說是不可或缺的核心區域或範圍。亦因此種設備主要用途是製作晶圓,所以主要就晶圓來討論。然而,應了解到下方討論中的大多數處理一般亦可應用於基板,且這些討論亦應實施於此類之基板以及製造設備。
近來有一不同於上述之系統被描述,其外型為線狀而非圓形,且晶圓從一腔室移動至下一個腔室以進行處理。因晶圓依序從一腔室移動至一相鄰的腔室,所以無需核心區域作為設備之一部分。在此工具中,一晶圓進入該裝置並通常附加於一載物台,其帶著晶圓移動一起通過系統。在此裝置中,各腔室中的處理係以相同時間量執行。
與此領域中典型的系統相較,此系統具有較小的台面面積(footprint),因其台面面積近似於僅為處理腔室的台面面積,且不包括巨大的核心區域。此為此種設備之一優點。在一公開的專利申請案中描述有此系統,公開號為2006/0102078 A1。此種特別的系統在各處理站中具有一致的停留時間。當然係限制於最長的停留時段的長度以內,如此允許在處理中的某些差異。若需要在不同的處理站中獨立控制停留時間,另一方法可能更好。此種設備亦具有缺點,其為假如其一站是下線以修復或保養,那麼整個系統皆無法用以處理。
本發明係指出一種新穎的晶圓處理裝置以允許單獨控制處理站中的存留時間,同時並維持小的台面面積。且即使在一個或多個站因一原因或其他原因而下線時,仍允許進行操作。在某種程度上,製造半導體的成本被公認為極高且正在增加中。成本愈高,在此領域中的企業投資風險愈高。其目標係以合理百分比設定一降低成本之設備,以及依照製程之精實(Lean)原則提供改進過的系統和服務。因此,其目的係為在維持小的台面面積之同時,使處理腔室增加至最大限度。另一目的係為使處理站的使用達到最大限度。另一目的係為簡化此設備的自動化及服務。此系統亦將提供相當大的冗餘度,甚至在主體服務期間,包括高達100%的系統可用度可用以處理。在此種情況中,將使用較少的腔室但可繼續所有處理以利用於晶圓處理。且允許由腔室的前面或後面服務或處理腔室。此外,在較佳實施例中,處理腔室將以線性排列設置。如此確保系統之小的台面面積,且該系統針對晶圓於不同的處理站中允許個別之程序。
處理腔室通常可具有執行任何使用在關於晶圓處理之步驟的能力。例如,在晶圓的製程中,晶圓通常被攜送通過一個或多個蝕刻步驟、一個或多個濺鍍或物理氣相沉積處理、離子佈植、化學氣相沉積(CVD)以及尤其是加熱及/或冷卻處理。假使使用習知技藝的裝置來執行這些多樣的處理的話,製作晶圓處理步驟的數目意謂著需要許多工具或具有大型子系統的工具。然而此種立即的系統提供另外的優點,其可附加額外的功能站而不會顯著增加尺寸大小,或不需附加新的全系統。
為了達到這些目的,晶圓的傳輸係建構為獨立於腔室的設計。因此這些腔室被設計為如同具有某些處理能力的腔室運作,且傳輸系統是建構為獨立於腔室設計而操作的,且是建構為供給晶圓予處理腔室及由處理腔室取出晶圓。在揭露之較佳實施例中的傳輸係依賴於一簡單的連結臂,其以線性或旋轉動作為基礎連接穿過一真空壁。為了維持低成本,腔室的設計係基於模組化。因此在一實施例中,此系統可具有三個腔室或者使用一個配合結構,因此系統可具有六個腔室。反之,這最後一句可複述為具有四個及八個腔室以及其他倍數數量之腔室或可匹配的模組,其數量不同於處理站的數量。
此系統是可擴充的,且另外其擴充係可獨立於可能施用於未來的處理或應用的技術而擴展。使用線性晶圓傳輸。如此導致無需高度要求無塵室空間之小台面面積的系統具有高的生產效能。此外不同的處理步驟可建構至同樣的處理平台中。
現參考圖1,其描述現今常用的叢集工具類型。一般來說,其包括放射狀設置圍繞並附加在核心腔室22上之處理腔室21。在此系統中具有兩個核心腔室。在其他系統中可僅有一個核心腔室。具有兩個核心腔室以上的系統可存在,但其較為笨重故反而使用者通常會需要其他系統。在操作中時,一機械手臂通常設置在各個核心腔室22中。機械手臂將晶圓收入系統中且從核心腔室攜帶晶圓至處理腔室並在處理後送回核心腔室。在某些習知技藝的系統中,核心機械手臂一次僅可存取單一晶圓及單一腔室。因此晶圓在單一腔室中處理連接時,機械手臂會變得很忙碌。如此在處理過程中單一機械手臂之組合會被約束於一處理站而限制了此種叢集工具之生產效率。更先進的裝置係使用多臂的機械手臂。處理腔室可包括任何形式的處理器且例如可包括一用以物理氣相沉積的腔室、一用以化學氣相沉積(CVD)或蝕刻或其他處理的腔室,其在晶圓製造過程中執行於一晶圓上。此種工具允許不同時間長度的處理,因在晶圓被處理時係藉由機械手臂搬運進入腔室並從腔室中移除,其不受其他因素影響且係以電腦控制。很明顯地,處理過程可設定相同的時間並規定其順序。
現參考圖2,其描述用以處理晶圓之一工具,其中一腔室內晶圓之存留時間與每一個腔室皆相同。於此實施例中處理器23係線性排列,且在此例中腔室係彼此相鄰設置且一腔室位於另一腔室之上方。在末端有一升降裝置25以將處理過之晶圓從一層移到另一層。一晶圓在入口26進入並被設置於一支撐件,其為晶圓移動穿越系統時,晶圓存留之處。於此系統之一實施例中,支撐件將晶圓提升至處理器之上層,然後晶圓一個接著一個依序移動通過該層之處理腔室23。升降裝置25改變晶圓的高度且晶圓接著沿著另一層移動,再次從一處理腔室經過然後經過下一個腔室餘此類推,然後離開系統。
現參考圖3,處理腔室31係沿著傳輸腔室32直線地設置。晶圓經由前開口式通用容器33(FOUP)或其他等同的輸送裝置進入系統34。前開口式通用容器33(from front opening unified pod)包括一外殼或外箱,晶圓係被儲存於其中且在等候進入處理操作時維持清潔。關於此前開口式通用容器,其亦可為一投入機構(feeding mechanism)以將晶圓置入系統處理,並在處理後從系統移除晶圓以暫時儲存。一晶圓之卡匣置入前開口式通用容器中,然後其中之晶圓從卡匣中被一葉片一片接著一片傳送,葉片從開口式通用容器33內之卡匣中提起晶圓並將晶圓攜入裝載鎖隔間35,因此進入系統。從裝載鎖隔間35,晶圓沿著傳輸腔室32行進,從此晶圓傳送進入處理腔室31。在一基板進入一處理腔室後,基板離開支撐手臂並停留於腔室內之一基板支撐件上。在此時,一閥門係關閉以將處理腔室的環境與傳輸腔室的環境隔離。如此允許在處理腔室內所做出的改變不會污染傳輸腔室或其他處理腔室。在處理後,將隔離處理腔室與傳輸腔室的閥門開啟,且晶圓係從處理腔室中移出並沿著傳輸腔室32傳送至另一處理腔室以進行額外的處理或傳送至裝載鎖,晶圓由此回到前開口式通用容器33。在此圖中顯示四個處理腔室31,也顯示四個處理電源供應器37以及一個電源分配裝置36。這些組合提供電力予系統以及提供動力予各個處理腔室。位於處理腔室31上方的是氣體處理櫥38以及資訊處理櫥40(information proceesing cabinets)。經由這些裝置將資訊輸入系統以控制基板沿著傳輸腔室32移動,且無論如何基板會被傳輸進入一處理腔室以進一步處理。這些裝置亦記錄在處理腔室中發生的事情。也提供氣體以在腔室中處理期間內使用。雖然將晶圓傳送進入系統及通過系統之處理站之自動搬運機構被描述如同一個雙臂系統,然而實際上,可能呈現多於兩個手臂,且各個手臂可設定為在傳輸行進腔室中獨立移動或一同移動。
系統中之處理腔室可隨晶圓製程之要求執行不同的處理。今日有許多製造者購買完整系統中之專用系統以完全實行濺鍍或蝕刻之處理。在本質上,晶圓之製程中有充份的濺鍍步驟或蝕刻步驟,因此一種四階段或多階段之系統可完全專用於濺鍍操作。反之,晶圓可被攜帶通過一系列的操作,在這一系列的操作中每一操作皆不相同但皆需要以通往最後的處理。例如,在一個五階段之處理站中,可在使用中合理要求接下來之順序。在第一個處理站中,晶圓將被作除氣操作,第二個站可作為預清潔站,第三個是濺鍍站舉例來說是用以沉積鈦,第四個是濺鍍站舉例來說是用以沉積釩化鎳,而在第五個站中可發生沉積金的濺鍍。
現參考圖4,其描繪一個具有上蓋並移除之的三站系統。此圖之一目的係在於提供更多對傳輸腔室32之了解。待處理之晶圓在裝載鎖35進入此系統。裝載鎖35係一雙層裝載鎖且可同時持有並處理兩片晶圓。其一是在較低層上而另外的是在較高層上。進入系統之晶圓在裝載鎖進入真空或控制的環境中。經過處理之晶圓也在其行進期間通過裝載鎖35離開此系統以及其真空或其他在系統內的被控制環境,並回到前開口式通用容器中(圖未示)。一但一晶圓完成其從非真空環境至真空環境的變遷之後,藉由移入傳輸腔室32之一手臂41將此晶圓提升。當在左方的第一處理腔室中其他是被元件部份遮蓋時,此一手臂係為可見。此可見手臂將一晶圓傳送入此處理腔室31(反之或是從此腔室將已經處理完之晶圓移除)。手臂41在傳輸腔室內沿著線性軌道43移動。在此實施例中,在傳輸腔室32內的軌道將支撐手臂41保持在腔室32之底部上方。在此圖中無法看見的驅動機構也從真空外部穿過腔室32外殼的障壁動作。欲將一手臂伸入一腔室或裝載鎖35中時,其提供手臂41一普遍的線性移動以及旋轉移動。因此手臂係用以將一晶圓移入或移出傳輸腔室32、移入或移出一處理腔室31或移入或移出裝載鎖腔室35。藉由防止接觸此腔室基部,產生較少塵粒以將環境維持於較純潔或潔淨的環境。後面之圖片會顯示並討論此傳輸系統額外的細節。雖然在此圖中描繪有兩個手臂,但其顯而易見的是一系統在一軌道上可具有多於或少於兩個手臂且可一次處理兩個以上的晶圓傳輸裝置。
現參考圖5,其顯示系統34之部分,蓋體沒有覆蓋於內部元件上,從裝載鎖35開始,連續至傳輸腔室32之始並包括一第一處理腔室31。圖中描繪有一晶圓42在裝載鎖35中停留於手臂41之上。另一手臂41延伸入處理腔室31。如手臂所顯示,其獨立且可在不同的高度作動,可同時延伸入不同區域。手臂將晶圓沿著傳輸腔室32移動從裝載鎖進入系統,然後從處理腔室至處理腔室地環繞系統。最終在處理之後,手臂將晶圓沿著傳輸腔室移動並進入裝載鎖35然後離開系統34。當處理完畢時,晶圓可接著從裝載鎖傳回至前開口式通用容器中,裝載鎖中收集經處理之晶圓。在裝載鎖或處理腔室中的晶圓係藉著被升抬於與手臂41相關之一支撐表面上而被傳輸。升抬在支撐表面之插腳提高晶圓以容許手臂從晶圓下方接送允許手臂提高之晶圓,且下一步驟是在系統中移動晶圓。反之,可應用一架子本質之結構在晶圓下滑動並在傳輸過程中支撐晶圓,以支撐及保持晶圓,並且當從一腔室或隔間攜帶或取出時,從手臂41取得及釋放晶圓。放置手臂以使其在上方及下方通過而彼此不會接觸並且可彼此通過。其連接於一內部驅動裝置及支撐機構45。驅動及支撐機構45係被裝備於一線性驅動軌道,驅動及支撐機構於傳輸腔室中沿線性驅動軌道傳輸。驅動及支撐機構45的移動係藉由一外部驅動裝置,例如一馬達所帶動。其一形式的驅動係使驅動與支撐機構45沿著驅動路線46線性移動。另一形式的驅動係使手臂41旋轉以使其在移動一晶圓42進入並通過系統的期間從傳輸腔室32延伸入裝載鎖35或處理腔室31中。在驅動路線46內是獨立的軌道47(圖6中更清楚顯示軌道47),各個驅動及支撐機構係獨立承載於允許之位置上,以使各個手臂41移動並獨立於其他的手臂41作動。晶圓係以從其線性驅動路徑轉化入腔室中的自然形態移入處理腔室。其發生係因為在較佳實施例中晶圓係同時經歷兩種形式的移動。其係同時被線性以及旋轉移動。使用外部馬達或其他形式之驅動機構在傳輸腔室32之真空形式內驅動此機構會減少在隔絕的真空區域內所不想要的塵粒。
現參考圖6,其描繪使用於本發明之較佳實施例中的驅動系統。在此圖中,驅動路線46之軌道47皆獨立可視。在支撐手臂41上亦顯示有一晶圓42。在此圖中僅簡單顯示延伸之另一支撐手臂。各驅動與支撐機構45皆承載於軌道47之一上。如此有利於手臂41的位置在不同的高度上。位在各個驅動與支撐機構45的基部上的是一磁頭48。與磁頭48間隔設置的是一傳動裝置50。磁頭48是設置於傳輸腔室之真空內,且真空腔室的一側壁(在圖7中以標號53顯示)通過各磁頭48之下方且介於磁頭48及傳動裝置50之間。因此傳動裝置50是在傳輸腔室32的真空側壁之外。如已述者,手臂41將晶圓42移入並通過處理系統,且手臂41的移動是彼此獨立。此些手臂41是以一種磁力耦合器之排列(magnetic coupler arrangement)驅動,其包括傳動裝置50及磁頭48。此連結器賦予手臂41線性及旋轉動作。傳動裝置50承載於外側軌道51之上,其是位於真空之外且在軌道系統之兩側皆出現。可以見到其中一組是在面對的關係中,而另一個軌道是精確地出現在相對的側邊。手臂的旋轉是經由磁力連結器傳輸且是藉由旋轉馬達52驅動。雖然在此圖中描述磁力連結器是用以線性移動及旋轉,然而其應是很容易清楚可使用分離的磁力連結器及驅動裝置。因此,雖然較佳是經由同樣的連結器傳輸線性及旋轉動作,也可使用分離的連結器以線性移動,而另一組以旋轉動作。
手臂的一型態可用以移動及操作晶圓通過傳輸腔室32,包括於處理站之停留,其係描述為一選擇性順從關節裝配機械手臂(selective compliant articulated assembly robotic arm),以縮寫方式稱之為SCARA機械手臂。相較於可能被取代之卡式(Cartesian)系統,SCARA系統較快速於且較為清潔。
為了減少或消除關於磁力驅動系統的負載因子,其一可包括會減少由動作連結磁鐵產生之吸引力之抗磁力。此些將旋轉及線性行動連結入真空之磁力具有一顯著量級的吸引力。此裝載於支撐部件之機械性機構。高裝載意謂著較少的承受壽命以及產生較多的塵粒。藉由使用位於磁力耦合器中或在一彼此抗拒的分離排列中之磁力,可降低吸引力。事實上,在磁力連結器中,並不是以最內部的磁力為顯著重要的來源以達到連結的堅固性。然而,這些內部磁力可用以產生一抗力,其係使用環繞連結器直徑以交錯N-S位置設置被用以吸引之連結磁力。
當然應了解假設若不考慮封閉腔室中的塵粒,封閉腔室中則可能包括驅動機構。
現請參考圖7,其顯示行動路線及驅動系統沒有覆蓋之側視圖。在此圖中,在驅動及控制手臂41位置的磁力連結器48及50之間繪示有真空側壁53。驅動路線46封閉提供線性行動至驅動與支撐機構45並至手臂41之軌道47,此線性行動係由外側滑軌51所賦予。旋轉行動是由旋轉馬達52所賦予。
現請參考圖8,其依據本發明描繪一處理系統。如同圖3的例子,傳送盒33接收並儲存晶圓以藉由先搬運晶圓至裝載鎖35然後沿著傳輸或傳輸腔室32以提供晶圓至包括處理腔室31之系統34,此實施例描述處理腔室31為用以發生濺鍍沉積的腔室。經處理的晶圓接著沿著傳輸腔室32傳送至裝載鎖35然後離開系統至前開口式通用容器33。
現請參考圖9,其依據本發明描繪一個八站處理系統。前開口式通用容器33將晶圓投入裝載鎖35。然後從傳輸腔室32沿著傳輸腔室32移動晶圓進入處理腔室31。在此圖中,兩組傳輸腔室皆設置在核心區域內,且處理腔室31是在外側上。在圖10中,處理區段皆排成一列以使其一組處理腔室是與另一組完全相同。因此,此系統之處理腔室是平行排列。
其他的變化是能夠快速且容易建構的。例如,處理腔室可以用一組在另一組之上或一組接著一組的設置方式來代替圖9及圖10中顯示的處理腔室。假設是一組接著另一組的話,這些組們可排齊以致於第二組繼續接著第一組直線排列,或者另一是第二組可以是與第一組形成某種形式的角度。因一傳輸腔室可將晶圓投入腔室之各側,可設有兩組處理器環繞單一傳輸腔室並以同樣的傳輸腔室投入(請見圖11A,其中的標號係與前述附圖中已述之項目相同。需注意的是附加於圖11A及圖11B中的是顯示有將處理腔室31與傳輸腔室32分離的閥門39,其如前所述)。假若第二組處理器是延續於第一組的話,有時可有沿著系統放置額外的裝載鎖的益處。當然也可能在遙遠末端附加一前開口式通用容器並在前開口式通用容器之前放置一裝載鎖,以致於晶圓可以直線行進而在一端進入並在另一端離開(請見圖11B,其中標號仍如早先附圖一般是標示相同項目)。在後面的例子中,晶圓可被計劃以在其一端或兩端進入或離開。也可沿著傳輸腔室以無規律的間隔設置處理腔室或者在處理腔室之間有間隔。在此排列中,關鍵特徵在於傳輸腔室的設置位置,以致於其可依需求及指示藉由系統之電腦控制將晶圓送入各個處理腔室。
雖然腔室是敘述為在真空環境下,事實上在某些例子中,在被容納的區域包括某些氣體或其他流體可能是有益的。因此,此處使用的字詞「真空」亦可被解釋為一個例如是可用在整個系統中之圍繞特殊氣體的環境。
在圖1中,叢集工具包括七個處理腔室。在圖9中,揭露系統是具有八個處理腔室。圖1中工具具有周邊之總台面面積將近38平方公尺。圖9中工具之總台面面積(具有額外之處理腔室及周邊)是23m2 。因此若依據本發明使用線性排列的話,具有較多腔室的系統的台面面積是相當小的。在許多測量當中,若與圖1中顯示使用核心區段之類型的系統比較的話,經由使用如圖9中傳輸腔室32敘述之改良傳送系統可達成此種進步。
本發明之線性結構是相當彈性的且使其自身導向多基板尺寸及外型。使用於半導體製造之晶圓通常為圓形且直徑大致在200mm或300mm。
半導體工業總是試著在每片晶圓中獲得更多元件,並且穩定地進展至較大及較大的晶圓尺寸,其從75mm、100mm、200mm至300mm,且正進行努力以進展至得見直徑450mm的晶圓。因此獨特結構,在晶圓製造潔淨室所需的樓面空間不如典型叢集工具座落於周圍的處理般大幅增加。
若欲更增加叢集工具類型的尺寸(圖1)以增加產能,總尺寸的增加係為增加動能,然而在此申請案中,系統尺寸的增加是在單一方向,即長度,而系統的寬度是維持同樣的大小。在類似的處理當中,如鋁處理,使用如圖9中所述比圖1中裝置佔用較少空間類型的系統的話,以同樣時間的輸出效率而言,圖9的裝置製造出之晶圓量是圖1的系統的兩倍多(快速估算約為170%)。因此相較於習知技藝裝置,其於每一標準無塵室面積之晶圓輸出量是具有可觀之進步。顯然地,如此達成減少製造晶圓成本之一目的。
此種裝置的設計並非受限於圓形基板。假使基板是長方形而當工具是以按照可處理圓形基板的尺寸製作的,其雕刻真正基板之方形形狀,以弧形描述之路徑移動晶圓之叢集工具是特別地無益,反之,線性工具在任何方向上都僅需不大於使真正外型通過之大小。例如,以300mm正方形基板運轉,叢集工具會需要依照可處理424mm圓形基板的尺寸製作,而線性工具無需大於用於300mm圓形基板所需的大小。
傳輸腔室32的尺寸也僅需是提供移動某些其他構件之基板所需的空間,其移動是沿著從入口腔室經過並進入處理腔室且從處理腔室離開系統。因此,此腔室的寬度應是略大於欲處理之基板的尺寸大小。然而,可處理系統中較小之構件並可在基板座中以多數一同處理。
雖然本發明係以示範性特殊材料的實施例及特別的步驟討論,在本技術領域中具有通常知識者應會了解而作出及/或使用這些特殊例子的變化,且從經由描述及描繪的實際例子和關於促進改良操作的討論所告知的理解,將會跟隨有此種結構或方法,這些改良可能是不違背本發明藉由附加之專利申請範圍所定義的範圍。
上述實施例僅係為了方便說明而舉例而已,本發明所主張之權利範圍自應以申請專利範圍所述為準,而非僅限於上述實施例。
21‧‧‧處理腔室
22‧‧‧核心腔室
23‧‧‧處理器
25‧‧‧升降裝置
26‧‧‧入口
31‧‧‧處理腔室
32‧‧‧傳輸腔室
33‧‧‧前開口式通用容器
34‧‧‧系統
35‧‧‧裝載鎖
36‧‧‧電源分配裝置
37‧‧‧電源供應器
38‧‧‧氣體處理櫥
39‧‧‧閥門
40‧‧‧資訊處理櫥
41‧‧‧手臂
42‧‧‧晶圓
43‧‧‧線性軌道
45‧‧‧驅動及支撐機構
46‧‧‧驅動路線
47‧‧‧軌道
48‧‧‧磁頭
50‧‧‧傳動裝置
51‧‧‧外側軌道
旋轉馬達...52
側壁...53
圖1係習知技藝作為物理氣相沉積應用之叢集工具的概略示意圖。
圖2係在前述專利公開案(2006/0102078 A1)中描述之系統之概略示意圖,且其本質是基於習知技藝系統。
圖3係依據本發明之一處理系統之概略示意圖。
圖4係為求更加清楚描繪傳輸腔室之俯視圖;在此圖中係以三個處理站結構描繪,但此處理站數量僅係作為描述之目的用。
圖5係從裝載鎖至傳輸或傳輸腔室之系統部份示意圖。
圖6係顯示系統外部包裝描述晶圓移動機制之示意圖。
圖7係描述在較佳實施例中所使用之軌道及驅動系統之示意圖。
圖8係依據本發明描述四站物理氣相沉積(PVD)或濺鍍系統之示意圖。
圖9係依據本發明描述八站系統之示意圖。
圖10係依據本發明描述六腔室系統之示意圖。
圖11A及11B係描述本發明兩相異實施例之示意圖。
處理腔室...31
傳輸腔室...32
前開口式通用容器...33
系統...34
裝載鎖...35
電源分配裝置...36
電源供應器...37
氣體處理櫥...38
資訊處理櫥...40

Claims (15)

  1. 一種基板處理系統,包括:一具有真空環境之基板傳輸腔室;一線性驅動軌道於具有真空環境之該基板傳輸腔室內部;一支撐機構架設於該線性驅動軌道上;一支撐臂連接於該支撐機構;以及一驅動裝置,其具有設置於真空外之一傳動裝置及外側軌道,其中該傳動裝置係架設於該外側軌道上並作用於從外部真空至穿過該腔室壁,以使該支撐臂進行線性及旋轉移動。
  2. 如申請專利範圍第1項所述之基板處理系統,其中,該驅動裝置包括:一磁頭,設置於該支撐機構。
  3. 如申請專利範圍第2項所述之基板處理系統,更包括一旋轉馬達,其中該旋轉移動係由該旋轉馬達所驅動。
  4. 如申請專利範圍第1項所述之基板處理系統,更包括有:一第二支撐機構架設於該線性驅動軌道上;一第二連接於該第二支撐機構;以及一第二驅動裝置,作用於從外部真空至穿過該腔室壁,以使該第二支撐臂進行線性及旋轉移動。
  5. 如申請專利範圍第4項所述之基板處理系統,其中,該些支撐臂係設置使其在上方及下方通過而彼此不會接觸。
  6. 如申請專利範圍第4項所述之基板處理系統,其中,該些支撐臂係設置可彼此通過。
  7. 如申請專利範圍第4項所述之基板處理系統,其中,該些支撐機構係獨立承載於該線性驅動軌道,以使各個手臂移動並獨立於其他的手臂作動。
  8. 如申請專利範圍第4項所述之基板處理系統,其中,該線性驅動軌道包括有複數個線性軌道及沿該些線性軌道移動之該些支撐臂。
  9. 一種基板處理系統,包括有:一裝載鎖;一連接至該裝載鎖並具有真空環境之基板傳輸腔室;一線性驅動軌道於具有真空環境之該基板傳輸腔室內部;一第一及第二支撐機構架設於該線性驅動軌道上;一第一及第二支撐臂連接於該第一及第二支撐機構;設置於真空外之外側軌道;以及一磁力耦合器作用於從外部真空至穿過該腔室壁,以使該每一支撐臂進行線性及旋轉移動,其中該磁力耦合器包括複數個傳動裝置設置於真空壁外側且係架設於該外側軌道上。
  10. 如申請專利範圍第9項所述之基板處理系統,其中,該磁力耦合器包括有複數個磁頭設置於該支撐機構。
  11. 如申請專利範圍第9項所述之基板處理系統,更包括一旋轉馬達,其中該旋轉移動係由該旋轉馬達所驅動。
  12. 如申請專利範圍第11項所述之基板處理系統,其中,該些支撐臂係設置使其在上方及下方通過而彼此不會接觸。
  13. 如申請專利範圍第9項所述之基板處理系統,其中,該些支撐臂係設置可彼此通過。
  14. 如申請專利範圍第9項所述之基板處理系統,其中,該些支撐機構係獨立承載於該線性驅動軌道,以使各個手臂移動並獨立於其他的手臂作動。
  15. 如申請專利範圍第9項所述之基板處理系統,其中,該線性驅動軌道包括有複數個線性軌道及沿該些線性軌道移動之該些支撐臂。
TW096134558A 2006-09-19 2007-09-14 傳輸及處理基板用裝置及方法 TWI446477B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/523,101 US7901539B2 (en) 2006-09-19 2006-09-19 Apparatus and methods for transporting and processing substrates

Publications (2)

Publication Number Publication Date
TW200832591A TW200832591A (en) 2008-08-01
TWI446477B true TWI446477B (zh) 2014-07-21

Family

ID=39187236

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096134558A TWI446477B (zh) 2006-09-19 2007-09-14 傳輸及處理基板用裝置及方法

Country Status (6)

Country Link
US (2) US7901539B2 (zh)
EP (1) EP1965409A3 (zh)
CN (1) CN101150051B (zh)
MY (1) MY148631A (zh)
SG (1) SG141371A1 (zh)
TW (1) TWI446477B (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) * 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US7550743B1 (en) * 2007-03-23 2009-06-23 Kla-Tencor Corporation Chamberless substrate handling
CN101740439B (zh) * 2008-11-14 2014-05-07 布鲁克斯自动技术公司 用于传输和处理衬底的装置和方法
JP5578539B2 (ja) * 2008-11-14 2014-08-27 インテバック・インコーポレイテッド 基板搬送処理装置及び方法
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
KR101718540B1 (ko) * 2009-02-27 2017-03-21 인테벡, 인코포레이티드 기판을 이송 및 처리하는 장치 및 방법
US9027739B2 (en) 2011-09-16 2015-05-12 Persimmon Technologies Corporation Wafer transport system
TW201327712A (zh) * 2011-11-01 2013-07-01 Intevac Inc 以電漿處理太陽能電池晶圓之系統架構
US20130129922A1 (en) * 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US20140080304A1 (en) * 2012-09-14 2014-03-20 Stmicroelectronics, Inc. Integrated tool for semiconductor manufacturing
CN104157592B (zh) * 2013-05-13 2017-08-25 上海理想万里晖薄膜设备有限公司 一种增加硅基异质结太阳能电池产能的工艺
US8940646B1 (en) * 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
TWI709185B (zh) 2013-08-26 2020-11-01 美商布魯克斯自動機械公司 基板搬運裝置
KR102285254B1 (ko) 2013-08-26 2021-08-03 브룩스 오토메이션 인코퍼레이티드 기판 이송 장치
JP6213079B2 (ja) * 2013-09-09 2017-10-18 シンフォニアテクノロジー株式会社 Efem
US10348172B2 (en) 2013-11-13 2019-07-09 Brooks Automation, Inc. Sealed switched reluctance motor
TWI695447B (zh) 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
JP6708546B2 (ja) 2013-11-13 2020-06-10 ブルックス オートメーション インコーポレイテッド 密封型ロボット駆動部
WO2015073651A1 (en) 2013-11-13 2015-05-21 Brooks Automation, Inc. Method and apparatus for brushless electrical machine control
CN104630719A (zh) * 2013-11-13 2015-05-20 中国科学院沈阳科学仪器股份有限公司 一种全自动电子束沉积系统
TWI553768B (zh) * 2014-03-04 2016-10-11 台灣積體電路製造股份有限公司 半導體元件之傳輸系統及傳輸方法
JP2015207622A (ja) * 2014-04-18 2015-11-19 株式会社ディスコ 搬送機構
JP6731793B2 (ja) * 2016-06-08 2020-07-29 株式会社ディスコ ウェーハ加工システム
US11088004B2 (en) 2018-01-30 2021-08-10 Brooks Automation, Inc. Automatic wafer centering method and apparatus
US11574830B2 (en) 2018-03-16 2023-02-07 Brooks Automation Us, Llc Substrate transport apparatus

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0649529B2 (ja) 1986-11-28 1994-06-29 日本真空技術株式会社 真空室内における物体の搬送方法
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPH0825151B2 (ja) 1988-09-16 1996-03-13 東京応化工業株式会社 ハンドリングユニット
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JPH0446781A (ja) 1990-06-11 1992-02-17 Seiko Instr Inc 真空内磁気浮上搬送ロボット
JPH08119409A (ja) * 1994-10-27 1996-05-14 Tokyo Electron Ltd 集合処理装置
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US6672820B1 (en) * 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US6367410B1 (en) 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6189482B1 (en) 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US5985033A (en) 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
CN1129175C (zh) * 1997-09-30 2003-11-26 塞米图尔公司 具有线性传送系统的半导体处理装置
KR100281119B1 (ko) 1998-12-21 2001-03-02 김영환 웨이퍼 로딩 장치
US6634851B1 (en) 1999-01-15 2003-10-21 Asyst Technologies, Inc. Workpiece handling robot
JP4354039B2 (ja) 1999-04-02 2009-10-28 東京エレクトロン株式会社 駆動装置
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
JP2002066976A (ja) 2000-08-28 2002-03-05 Assist Japan Kk 基板搬送用真空ロボット
CN1996552B (zh) 2001-08-31 2012-09-05 克罗辛自动化公司 晶片机
JP4389424B2 (ja) * 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
US20040003828A1 (en) 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US7601248B2 (en) 2002-06-21 2009-10-13 Ebara Corporation Substrate holder and plating apparatus
EP1535313B1 (en) * 2002-07-22 2018-10-31 Brooks Automation, Inc. Substrate processing apparatus
TWI262165B (en) * 2002-10-16 2006-09-21 Sez Ag Device and method for transporting wafer-shaped articles
SG132670A1 (en) 2003-11-10 2007-06-28 Blueshift Technologies Inc Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7905960B2 (en) 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
KR101022616B1 (ko) 2004-07-09 2011-03-16 세키스이가가쿠 고교가부시키가이샤 베이스 외주 처리 방법 및 장치
US8668422B2 (en) 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US7519500B2 (en) 2005-03-17 2009-04-14 Qualcomm Incorporated Method for improved location determination accuracy using filtered and unfiltered ranging signals
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7901539B2 (en) 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US8293066B2 (en) 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm

Also Published As

Publication number Publication date
MY148631A (en) 2013-05-15
CN101150051B (zh) 2010-06-02
SG141371A1 (en) 2008-04-28
US7901539B2 (en) 2011-03-08
EP1965409A3 (en) 2011-11-23
EP1965409A2 (en) 2008-09-03
US20080066678A1 (en) 2008-03-20
US8303764B2 (en) 2012-11-06
TW200832591A (en) 2008-08-01
US20110158773A1 (en) 2011-06-30
CN101150051A (zh) 2008-03-26

Similar Documents

Publication Publication Date Title
TWI446477B (zh) 傳輸及處理基板用裝置及方法
JP7292249B2 (ja) 基板処理装置
US9691649B2 (en) Linear vacuum robot with z motion and articulated arm
US9524896B2 (en) Apparatus and methods for transporting and processing substrates
US8293066B2 (en) Apparatus and methods for transporting and processing substrates
JP5984036B2 (ja) z運動し、多関節アームを備える直線真空ロボット
JP5247094B2 (ja) 基板処理システム
TWI394224B (zh) 載送及處理基板之裝置與方法
TW202109716A (zh) 同時進行基板傳輸的機械手
KR102058985B1 (ko) 로드 스테이션
TWI721937B (zh) 鈷基板之處理系統、裝置、及方法
US20090162170A1 (en) Tandem type semiconductor-processing apparatus
JP5388279B2 (ja) 基板搬送処理装置及び方法
TWI488247B (zh) 輸送及處理基板之裝置與方法
JP5578539B2 (ja) 基板搬送処理装置及び方法
EP2187433B1 (en) Apparatus and method for transporting and processing substrates