CN101150051B - 传输和加工衬底的装置 - Google Patents

传输和加工衬底的装置 Download PDF

Info

Publication number
CN101150051B
CN101150051B CN2007101929710A CN200710192971A CN101150051B CN 101150051 B CN101150051 B CN 101150051B CN 2007101929710 A CN2007101929710 A CN 2007101929710A CN 200710192971 A CN200710192971 A CN 200710192971A CN 101150051 B CN101150051 B CN 101150051B
Authority
CN
China
Prior art keywords
processing system
wafer
substrate processing
support arm
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2007101929710A
Other languages
English (en)
Other versions
CN101150051A (zh
Inventor
T·布卢克
K·P·费尔贝恩
M·S·巴恩斯
C·T·莱恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Azenta Inc
Original Assignee
Intevac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intevac Inc filed Critical Intevac Inc
Publication of CN101150051A publication Critical patent/CN101150051A/zh
Application granted granted Critical
Publication of CN101150051B publication Critical patent/CN101150051B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及一种用于传输和加工衬底,包括晶片的装置和方法,以与现在使用的系统相比,在合理的成本的情况下有效地提高生产能力。关键因素是使用了沿着加工室侧面的传输室,用于通过装载锁将衬底供给到受控气氛中,然后使衬底沿着作为到达加工室的路径的传输室行进,然后在加工室中加工之后将衬底转移出受控气氛。

Description

传输和加工衬底的装置
技术领域
本发明一般涉及传输和加工衬底尤其是晶片的装置和方法。
背景技术
在半导体制造过程中,普通的设备,例如群组化设备,为在晶片制造过程中使用的多个关键部件之一。通常的商用设备具有一个大体圆形的中心区域和连接在四周的多个室,该多个室在中心区域的周围向外延伸。当晶片被加工时,它们首先从中心室周围的输入输出站移动到中心室,然后从中心室移入一个要在其中进行加工的相连室或周围室。就像在当今所用的几乎所有制造系统中一样,在此设备中,通常是一次加工一个晶片。晶片可以移入室中进行加工,然后回到中心室。可能进一步移到另一个周围室然后继续加工,再返回中心室。最后经过充分加工的晶片完全地移出设备。再次经过一个输入/输出站或室被移出,上述输入/输出站或室与真空系统相连并一般被称作装载锁,经上述输入/输出站或室,晶片从真空气氛移动到大气气氛中。例如在美国专利US4951601中描述了这样一种部件。
还有另一种设备,其沿中心轴引导晶片,并供应晶片到周围的多个加工室。在此设备中,所有的晶片被同时提供到下一个停留点。虽然晶片能单独加工,但是它们不能单独移动。它们全部保持在一个加工站持续相同的时间,但是每个站处的加工当然可以单独控制,经受由停留站允许的时间所确定的最大时间。虽然最开头描述的那种设备也可以以这种方式操作,但是事实上,它可能会将多个晶片移动成使它们不会依序地前进到相邻的加工室,并且,在一个加工室中,所有晶片并不需要具有相同的停留时间。
当这些系统中的任意一个操作时,中心区域一般为真空,但是它也可以处于其它一些预选或预定的受控环境。这个中心部分例如可以含有对加工室中要进行的加工有用的气体。沿着中心带的外表面的多个室或腔通常也为真空,但是也可以具有预选的受控气态环境。当在真空中时,通过移动晶片从中心室到相连的室或舱,使加工也一般在真空中进行。通常,一旦晶片到达室或舱进行加工,该室或舱就与中心室隔开。这防止加工室或舱中所用的原料和/或气体到达中心带,防止了中心带和所连的多个加工室中的气氛被污染和/或防止设置在中心带中的等待加工或进一步加工的晶片被污染。这也允许将加工室设置成某个真空度,该真空度不同于要在室中进行特定加工的中心室中所用的真空度。例如,如果室的加工技术需要更高的真空,则在中心带和室之间的位置具有密封件,室本身也能够进一步抽空以匹配要在该室中进行特殊加工的工艺要求。作为选择地,如果需要较低的真空,可以在不影响中心室压力的条件下增加压力。在晶片的加工完成之后,将晶片运回到中心室然后运出系统。这样晶片可以按照通过多个室并进行所有有用加工的方式前进通过此设备。作为选择,晶片也可以仅通过所选室并仅暴露于所选室。
在这些加工中的变化也可以应用到本领域的装置中。然而,他们趋向于具有用于不同加工过程的中心区域或带。同时因为这种装置的主要应用是制造晶片,所以本文将主要就晶片来进行讨论。然而,应该理解的是,所讨论的大多数加工过程一般也可应用于衬底,所以这些讨论应被认为可以应用于这种衬底和这种制造装置。
最近已有对不同于这些设备的一个系统的有关记载,其中,它为线性形状而非圆形,并且为了加工,晶片从一个室移动到下一个室。因为晶片顺次从一个室移动到一个邻近的室,不再需要作为设备一部分的中心区域。在此设备中,晶片进入到设备中,并一般在通过系统时连接到与晶片一起行进的吸盘上。在此设备中,在每个室中进行加工的时间相同。
由于占地面积仅与该加工室的占地面积近似并且不包括大的中心带,从而本系统具有比本领域中常用装置更小的占地面积。这是这种类型的装置的优点。在待审已经公开且公开号为2006/0102078A1的专利申请中描述了这个系统。这个特殊的系统在每一个加工站具有一致的停留时间。这当然允许加工中有一定差别,这是由最长停留周期的长度限制的。如果需要在不同站具有独立控制的停留时间,则另外的步骤可能是首选的。同时,这种类型的装置的缺点在于,如果一个站被停止用于维修或维护,那么整个系统就不能用来进行加工。
发明内容
本发明涉及一种新型的晶片加工装置,允许在不同的加工站具有单独控制的停留时间,同时保持较小的占地面积。即使一个或者多个站由于一种或另一种原因被停止,它也允许操作继续进行。这是基于制造半导体的成本极高并且成本在不断增加而进行的改进。在本领域中,成本越高则在计划投资中的风险越大。本发明的一个目标就是提供一种设备,能将成本降低一个合理的百分比,并根据制造的“精益”(lean)原理提供改善的系统和维护。另一个目的是为了使加工室最大化而占地面积很小。还一目的是使加工站的利用最大化。还有一个目的是使设备的机械手以及维护简单化。系统可提供了相当的冗余,即使在主机维修时系统也具有100%的可用性用于加工。在这种情况下,使用较少的室,但是所有的工艺过程都可继续用于处理晶片。并且从加工室的后面或前面都可以检修加工室。另外,在优选的实施例中,加工室将设置成线性排列。这确保系统具有最小的占地面积,从而允许在不同的加工站具有单独的用于晶片的程序。
加工室一般可以具有执行任何与加工晶片有关的不同工艺过程的能力。例如在晶片的制造过程中,晶片通常要进行一个或多个蚀刻步骤、一个或多个溅射步骤或物理气相淀积处理、离子注入、化学气相淀积(CVD)和加热和/或冷却处理。制造晶片的加工步骤的数量意味着如果使用现有技术中的装置来执行这些不同的工艺则需要多个设备或具有大量子系统的设备。然而本系统提供的优点在于,可以增加额外的功能站而不增加新的总系统。
为了达到这些不同的目的。晶片的传输被构造成独立于室的结构。因此多个室被设计成具有特定处理能力的室,并且传输系统被构造成独立于室的结构来工作,并且还被构造成供给晶片进入以及退出加工室。在优选实施例中,传输取决于一个基于线性和旋转运动相耦合的穿过真空壁的简单连接臂。为保持低成本,室基于模块化而设计。因此在一个实施例中,系统可以具有3个室或一个能被利用的匹配结构,系统可能具有6个室。作为选择,最后这句话可以被重述为有4个和8个室以及其他倍数的室,或者可以匹配具有不同数量的加工站的模块。
该系统是可扩展的,另外它可独立于将来的工艺和应用可能要用的技术。采用线性晶片传输,这使系统的产量很高,且不再需要清洁室中的空间,另外,可将不同的工艺步骤组合到相同的处理平台上。
附图说明
图1是现有技术中用于PVD应用的群组化设备的示意图。
图2是上述专利公开(2006/0102078A1)所描述的系统的示意图,其属于现有技术的系统。
图3是根据本发明的加工系统的示意图。
图4是更清楚示出的传输室的俯视示意图。在本图中涉及三个加工站结构,但是所示站的数量仅是出于说明目的。
图5是从装载锁进入转换或转移室的系统的部分的示意图。
图6是位于系统的装箱外部的晶片移动机构的示意图。
图7是在优选实施例中使用的轨道和驱动系统的示意图。
图8是根据本发明的4站式物理气相淀积(PVD)或溅射系统的示意图。
图9是根据本发明的8站式系统的示意图。
图10是根据本发明的6室式系统的示意图。
图11A和11B是本发明的两个不同实施例的示意图。
具体实施方式
现在参见图1,示出了目前常用类型的群组化设备。通常包括多个加工室21,其放射状地设置在中心室22周围并连接到中心室22。在这个系统中,有两个中心室。其它系统也可以仅具有单个中心室。也可以存在具有两个以上中心室的系统,只是它比较笨重,使用者宁可再使用另一个系统。在操作时,机械手通常位于每一个中心室22内。机械手将晶片放入系统,并将晶片从中心室运送至各加工室,以及在加工之后再运回到中心室。在一些现有的系统中,中心机械手一次仅可接近单个晶片和单个室。因此当晶片位于单个室中时,在加工期间,机械手就会变得忙碌或繁忙。在加工期间这种单个机械手依赖于加工站的结合对此类群组化设备的产能是一个限制。更多的现代装置使用的是带多个臂的机械手。在晶片制造期间,加工室可以包括任何形式的处理器,并可以包括例如用于物理气相淀积的室、用于化学气相淀积(CVD)的室、或者用于蚀刻的室、或者用于其它要在晶片制造过程中在晶片上进行的工艺过程的室。因为在晶片加工时由机械臂将晶片移入室中以及从室中移出的过程与其它因素无关并且通过电脑控制,此类设备允许加工不同时间段。显然,加工也可以按相同的时间和确定的顺序来设定。
现在参见图2,描述了一种用于加工晶片的设备,在该设备中,晶片在室中的停留时间对于每一个室来说是相同的。在此实施例中,多个处理器23排列成直线,且此时多个室被设置成彼此邻近并且一个在另一个上面。在末端具有一个升降机25,用于将待处理晶片从一个高度移动到另一个高度。在入口26处,晶片进入,且被安置在一个支撑件上,当移动通过系统时,晶片停留在支撑件上,在此系统的一个实施例中,支撑件举起晶片到达处理器的较高高度上,然后晶片依序在那个高度上通过多个处理室23。升降机25改变晶片的高度,然后晶片沿着另一个高度移动,再一次从一个加工室中通过,然后通过下一个室等等,然后移出系统。
现在参见图3,加工室31沿着传输室32线性设置。晶片经由FOUP 33或一些等同的供给装置进入系统34。FOUP(前开口通用容器)33包括一个壳体或外壳,晶片在其中被容纳并保持清洁,同时等待进入加工操作。与FOUP组合的也可以是一个供给机构,用于将晶片放置入系统进行加工以及在处理后从系统中移出晶片以暂时存储。晶片盒被放入到FOUP中,在此处,然后通过托板一个接一个的从盒中转移晶片,其中托板将晶片从FOUP内的盒中升起,并将晶片运送进装载锁腔35中从而进入系统。晶片从装载锁腔35沿着传输室32行进,被从传输室32转移进加工室31。当衬底进入到加工室之后,衬底离开支撑臂而停靠在室内的衬底支撑件上。此时一个阀被关闭,以将加工室中的气氛与传输中的气氛隔开。这允许在加工室内做出改变,而不会影响传输室或其它加工室。在加工后,用于使加工室和传输室隔开的阀打开,并将将晶片从加工室移出,并沿着传输室32转移到另一个加工室进行其它加工或转移到装载锁,晶片从装载锁返回到FOUP 33。在本图中示出了4个加工室31。也示出了4个加工电源37和一个配电单元36。这些件相联合,为系统提供电能,并为每一个独立的加工室供电。在加工室31之上是工艺气体柜38和信息处理柜40。通过这些单元,被键入到系统的信息控制衬底沿着传输室32的运动并控制是否将衬底转移到加工室作进一步的加工。这些单元同时也提供在加工室内发生的事情的记录。在加工期间向加工室内提供气体以供使用。虽然上述将晶片供给到系统并通过系统内的加工站的机械手处理机构为一个两臂系统,但是事实上也可以使用多于两个臂的系统,并且每个臂都可设定为在传输室内单独移动或一起移动。
系统内的加工室可以根据晶片制造过程的需要而执行不同的加工。现在许多制造厂商购买其中整个系统都提供给溅射和蚀刻的专用系统。如果在晶片制造过程中要具有足够的溅射步骤或蚀刻步骤,则具有4个或更多工作台的系统能够整个投入到溅射操作中。作为选择,晶片可以通过一系列的操作进行处理,每一个操作都不同但是对于最终处理而言每一个操作都是必需的。例如,在一个5加工站中,在使用中可能会期望按以下顺序执行。在第一加工站晶片接受除气处理;第二站可以为预清洗站;第三站为溅射站以沉积例如钛;第四站为溅射站以沉积例如镍钒;第五站能够通过溅射沉积金。
现在参见图4,描述了一个顶盖被移除的三站式系统。此图的目的仅是为了便于更好地理解传输室32。待加工晶片在装载锁35处进入这个系统。装载锁35是一个双重装载锁,能够同时保持和处理两个晶片。一个在较低高度,另一个在较高高度。在装载锁处晶片进入系统,晶片进入到真空或受控环境。同时已经被处理的晶片在它们离开系统的行进过程中穿过装载锁35以及系统内的真空或其它受控环境,并返回到FOUP(在图中未示出)。一旦晶片完成从非真空环境到真空环境的过渡,它就通过一个臂41被升起,该臂41运动到传输室32中。在左边的第一加工室中,只能看到一个臂,而另一臂被其它元件部分覆盖。可见的臂显示为正传送晶片到此加工室31中(或作为选择,正从这个室中移除已经被加工的晶片)。臂41在传输室中沿着线性轨道43移动。在这个实施例中,传输室32中的轨道将支撑臂41保持在室32的基底上方。同时,本图中未示出的驱动机构通过室32的外壳的壁从真空室外面进行操作。当需要将臂延伸到室或装载锁35内时,要给臂41提供一个通常线性运动和旋转运动。这样,臂就能用来将晶片运入或运出传输室32、运入或运出加工室31、运入或运出装载锁35。通过避免与这个室的基底接触,使得产生的颗粒很少,从而使环境保持在一个较纯洁的或无颗粒状态。下面结合后面的附图来示出和描述这个传输系统的其他细节。同时,虽然在图中描述的是两个臂,但很显然,系统在一个轨道上可以具有比两个臂更多或更少的臂,并且可以一次能够处理两个以上的晶片传输装置。
现在参见图5,此图示出了系统34的部分,罩没有闭合,内部元件从装载锁35开始,继续到传输室32的开始,并包括第一加工室31。在此图中示出装载锁35中的晶片42位于臂41上。另一个臂41被示出延伸到加工室31中。如图所示,臂可单独动作并可以位于不同高度,臂能够同时延伸到不同的区域。臂沿着传输室32将晶片从装载锁移进系统,然后在系统附近从加工室移到加工室。最后,在加工之后,臂沿着传输室移动晶片并将其移动到装载锁35中,然后移出系统34。当加工完成时,晶片可以从装载锁返回到FOUP中,被加工的晶片被收集在FOUP中。装载锁或加工室中的晶片在与臂41接合的支撑表面上被升高从而被转移。位于支撑表面的升高销升高晶片,以允许该臂接近晶片下方,以使臂能够举起晶片,并将晶片移动到系统中的下一步骤。作为选择,可以使用具有支架性质的结构在晶片下面滑动并在传输期间支撑晶片,以支撑和保持晶片,并在要从室和腔中拿来和取走晶片时从臂41接收和释放晶片。臂被设置成可彼此无接触地上下穿过,并且能被彼此穿过。它们被连接成一个内部驱动和支撑机构45。驱动和支撑机构45具有线性驱动轨道,驱动和支撑机构沿该线性驱动轨道在传输室32中行进。驱动和支撑机构45的运动通过一个外部驱动器例如电机来产生。驱动的一个形式是促使驱动和支撑机构45沿着驱动轨道46线性运动。另一个形式是,在将晶片42移动进入和经过系统期间,促使臂41旋转从而使得它们从传输室32延伸到装载锁35或加工室31中。在驱动轨道46内是多个独立的导轨47(导轨47在图6中更清晰的示出),在导轨上每个驱动和支撑机构独立地滑动,它们能够定位成使每个臂41不取决于另一臂而移动和动作。晶片进入加工室的移动是从其线性驱动路径到加工室内的平移。在优选实施例中,其发生是因为晶片同时经历两种形式的运动。它同时线性运动和旋转。用于在传输室32的真空内驱动该机构的外部电机或其它形式的驱动机构的使用减少了在封闭的真空区域内不必要的粒子。
现在参见图6,描述了本发明优选实施例中使用的驱动系统。在本图中,驱动轨道46的导轨47每一个都是独立可见的。同时也示出了位于支撑臂41之一上的晶片42,图中另一个支撑臂仅示出为延伸状态。驱动和支撑机构45每个跨在一个导轨47上。这便于将臂41定位在不同高度。定位在每一个驱动和支撑机构45底部的是磁头48。与磁头48隔开布置的为驱动器50。磁头48被布置在传输室的真空内,并且真空室的壁(如图7的53所示)在每个磁头48下面并在磁头48和驱动器50之间通过。因此驱动器50位于传输室32的壁外面。如上所述,臂41将晶片移动进入和经过加工系统,且臂41彼此独立地运动。这些臂41通过一个包括驱动器50和磁头48的磁耦合器来驱动。耦合器对臂41施加线性和旋转运动。驱动器50跨在位于真空之外并露在导轨系统的两侧的导轨上。可以看出一组处于面对关系,而另一组导轨出现在相对侧上。臂的旋转通过磁性耦合装置来转换并由旋转的电机来驱动。虽然在图中示出利用磁耦合器来进行线性运动和旋转运动,但是很显然,可以使用单独的多个磁耦合器和驱动器。因此,虽然优选的通过相同的耦合器来转换线性和旋转运动,但是也可以使用单独的耦合器进行线性运动并使用另一组进行旋转运动。
可以用来移动和操作晶片穿过在加工站31处包括多个止动件的传输室32的一种类型的臂被表述为一个被缩写为SCARA的选择性顺从铰接组件机械臂。SCARA系统比它将替代的笛卡尔系统更快且更清洁。
另外,为了减少和/或排除与磁性驱动系统有关的负载因素,可以包括排斥磁体,以减少由运动耦合磁体产生的吸引力。将旋转和线性运动耦合进真空的磁体具有巨大的吸引力。其装载支撑多个部件的机械机构。高负载意味着较低承受寿命和生成较多的粒子。通过使用位于多个磁耦合器内或位于彼此排斥的单独装置内的多个磁体,能够减少吸引力。事实上,在磁耦合器内,最内部的磁体在获得耦合强度时是不重要的。但是,这些内部磁体能用来设在耦合器直径周围交替的N-S位置处用于吸引的耦合磁体产生排斥力。
当然应该理解的是如果不关注封闭室内的粒子灰尘的话,则驱动结构也可以包括在封闭室内。
现在参见图7,示出了在没有盖子的情况下的轨道和驱动系统的侧面图。在本图中,真空壁53被示出位于磁耦合器48和50之间的位置,其中磁耦合器48和50驱动和控制臂41的位置。驱动轨道46封闭由外导轨51向驱动和支撑机构45因而向臂提供线性运动的导轨47。旋转运动由旋转电机52产生。
现在参见图8,示出了一个根据本发明的加工系统。与图3的情况一样,通过首先转移晶片到装载锁35然后沿着传输或转移室32转移,FOUP33接收并储存晶片来提供给包括加工室31的系统34,在本实施例中该加工室仅是为了示出其内产生溅射淀积的室。经加工过的晶片然后被沿着转移室32反回到装载锁,然后运出系统34到达FOUP33。
现在参见图9,示出了根据本发明的8站式加工系统。FOUP33提供晶片到装载锁35。晶片然后沿着传输室32移动并从传输室32进入到加工室31。在此图中,两组传输室都位于中心区域内,并且加工室31位于外侧。在图10中,加工区段都被排列起来从而一组加工室与下一组加工室完全相同。因此,系统的加工室显示为平行排列。
其它的改变也是可能和容易构想的。例如,代替如图9和10所示的排列加工室的是,加工室可以设置成一组位于另一组之上或一组在另一组之后。如果排列成一组在另一组之后,各组能排列起来从而第二组成直线延伸在第一组之后,或作为选择,第二组能够设置成与第一组呈一些角度。因为传输室能在每一侧提供晶片到各加工室,两组处理器能设置在单个传输室周围并且通过同一传输室提供晶片(参见图11A,附图标记表示与前述附图中相同的元件。需要注意的是在图11A和11B中添加了阀39,如上所述的,阀39将加工室31和传输室32隔开。)。如果第二组处理器是第一组处理器的延伸,则沿着系统配置额外的装载锁是很有好处的。在远端增加一个FOUP和在FOUP之前设置一个装载锁当然也是可能的,从而晶片能够以直线从一端进入并从另一端离开(如图11B所示,附图标记表示与上述附图一样的元件)。在后一种情况中,晶片能被编程为从任一端或两端进入或离开。可以按不规律间隔或使加工室之间具有空间地沿着传输室设置加工室。在这种设置中,关键要素是传输室的定位从而传输室能根据需要和根据系统的计算机控制指示将晶片提供到独立的加工室。
虽然室被表述为处于真空环境之下,但是事实上在一些例子中在一些被容纳的区域中包括特定气体或其它流体也是有好处的。从而这里所用的术语真空也能解释为自包含环境以包含可在整个系统中使用的特殊气体。
在图1中,群组化设备包括7个加工室。图9所示系统包括8个加工室。图1中具有外围设备的设备总占地面积大约为38m2。在图9(具有额外的加工室和外围设备)中设备的总占地面积为23m2。因此如果采用根据本发明的线性布置,则具有较多室的系统的总占地面积会相当小。与图1所示类型的系统中所用的中心部分相比,通过使用一个图9所示且描述为传输室32的改进了的供给系统,可以取得这种巨大的改进。
本发明的线性架构是极其灵活的,使其本身具有多样的衬底尺寸和形状。用于制造半导体的晶片典型地为圆形并且直径大约为200或300mm。而半导体产业总是在试图从一个晶片得到较多的器件,并且趋向于从75mm、100mm、200mm到300mm的越来越大的晶片尺寸,并且有望加大到450mm直径晶片。由于在清洁室中底面积所需要的独特的结构,晶片厂不能用其中加工室位于圆周上的典型群组化设备来生长尽可能大的晶片。
此外,如果需要增加群组化设备类型(图1)的尺寸来增加产量,这种总尺寸的增加要求增加功率;反之,在本申请中所描述的系统尺寸的增加仅在单方向即长度方向上进行,而系统的宽度保持不变。在类似的工艺过程例如铝处理中,使用图9所示类型且比图1所示系统占用空间小的系统,就相同时间的产量而言,图9的设备能生产几乎为图1系统的两倍的晶片(初步计算为大约170%)。因此,与现有技术相比,使用本发明系统,每一实测清洁室区域的晶片产量有一个相当大的提高。显然其实现了降低晶片制造成本的目的。
这种设备的设计并不局限于圆形衬底。如果衬底是矩形的,群组化设备以弧形移动晶片是很不利的,因为设备的尺寸要做成可处理内接实际矩形衬底的环形衬底;反之,线性设备在任何方向都不需要大于穿过实际形状所必需的尺寸。例如,处理300mm的方形衬底时,群组化设备的大小要做成能处理424mm的圆形衬底,而线性设备不用做成大于300mm圆形衬底所需要的尺寸。
同时,不管是否其它部件的晶片,传输室32的尺寸仅仅需要提供移动衬底从进入室经过并进入加工室以及从加工室移出系统所需要的空间。因而该室的宽度将比待加工衬底的尺寸稍大。但是,在系统中也可以处理较小的部件,并且可以在衬底支架上放置多个一起处理。
虽然本发明已经根据由特定材料和特定步骤构成的示例性实施例进行了描述,但本领域技术人员应该理解的是,可对这些特定示例做出变化和/或利用这些变化,而且,由所述和所示实际过程和操作过程可以理解这些结构和方法,以便于做出修改,这些都不偏离所附权利要求限定的本发明范围。

Claims (17)

1.一种衬底加工系统,包括:
具有真空环境的衬底转移室;
在真空环境内并位于衬底转移室内的线性驱动轨道;
跨在所述线性驱动轨道上的支撑机构;
与支撑机构相连的支撑臂;以及
穿过衬底转移室的壁从真空外面进行操作的驱动机构,用于为支撑臂提供线性运动和旋转运动。
2.根据权利要求1所述的衬底加工系统,其特征在于,所述驱动机构包括:
位于支撑机构上的磁头;以及
位于壁外面的驱动器。
3.根据权利要求2所述的衬底加工系统,其特征在于,还包括位于真空环境外的外导轨,且其中所述驱动器跨在所述外导轨上。
4.根据权利要求2所述的衬底加工系统,其特征在于,还包括旋转电机,且其中所述旋转运动由所述旋转电机来驱动。
5.根据权利要求1所述的衬底加工系统,其特征在于,还包括:
跨在所述线性驱动轨道上的第二支撑机构;
与第二支撑机构相连的第二支撑臂;以及
穿过室的壁从真空外面进行操作的第二驱动机构,用于为第二支撑臂提供线性运动和旋转运动。
6.根据权利要求5的衬底加工系统,其特征在于,所述支撑臂和第二支撑臂被定位成彼此间无接触地上下穿过。
7.根据权利要求5的衬底加工系统,其特征在于,所述支撑臂和第二支撑臂可彼此穿过。
8.根据权利要求5的衬底加工系统,其特征在于,所述支撑机构独立地跨在线性驱动轨道上,从而每个支撑臂独立于其他支撑臂而进行移动和动作。
9.根据权利要求5的衬底加工系统,其特征在于,所述线性驱动轨道包括线性导轨且所述支撑臂和第二支撑臂沿线性导轨移动。
10.一种衬底加工系统,包括:
装载锁;
与装载锁相连并具有真空环境的衬底转移室;
在真空环境内并位于衬底转移室内的线性驱动轨道;
跨在所述线性驱动轨道上的第一和第二支撑机构;
与第一和第二支撑机构相连的第一和第二支撑臂;以及
穿过衬底转移室的真空壁从真空外面进行操作的磁耦合器结构,用于为每个支撑臂提供线性运动和旋转运动。
11.根据权利要求10所述的衬底加工系统,其特征在于,所述磁耦合器结构包括位于支撑机构上的磁头和位于真空壁外面的驱动器。
12.根据权利要求11所述的衬底加工系统,其特征在于,还包括位于真空环境外的外导轨,且其中所述驱动器跨在所述外导轨上。
13.根据权利要求12所述的衬底加工系统,其特征在于,还包括旋转电机且其中所述旋转运动由所述旋转电机来驱动。
14.根据权利要求13的衬底加工系统,其特征在于,所述支撑臂被定位成彼此间无接触地上下穿过。
15.根据权利要求12的衬底加工系统,其特征在于,所述支撑臂可彼此穿过。
16.根据权利要求12的衬底加工系统,其特征在于,所述支撑机构独立地跨在线性驱动轨道上,从而每个支撑臂独立于其他支撑臂而进行移动和动作。
17.根据权利要求10的衬底加工系统,其特征在于,所述线性驱动轨道包括线性导轨且所述第一和第二支撑臂沿线性导轨移动。
CN2007101929710A 2006-09-19 2007-09-19 传输和加工衬底的装置 Active CN101150051B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/523,101 US7901539B2 (en) 2006-09-19 2006-09-19 Apparatus and methods for transporting and processing substrates
US11/523,101 2006-09-19

Publications (2)

Publication Number Publication Date
CN101150051A CN101150051A (zh) 2008-03-26
CN101150051B true CN101150051B (zh) 2010-06-02

Family

ID=39187236

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007101929710A Active CN101150051B (zh) 2006-09-19 2007-09-19 传输和加工衬底的装置

Country Status (6)

Country Link
US (2) US7901539B2 (zh)
EP (1) EP1965409A3 (zh)
CN (1) CN101150051B (zh)
MY (1) MY148631A (zh)
SG (1) SG141371A1 (zh)
TW (1) TWI446477B (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7550743B1 (en) * 2007-03-23 2009-06-23 Kla-Tencor Corporation Chamberless substrate handling
JP5578539B2 (ja) * 2008-11-14 2014-08-27 インテバック・インコーポレイテッド 基板搬送処理装置及び方法
CN101740439B (zh) * 2008-11-14 2014-05-07 布鲁克斯自动技术公司 用于传输和处理衬底的装置和方法
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
KR101718540B1 (ko) * 2009-02-27 2017-03-21 인테벡, 인코포레이티드 기판을 이송 및 처리하는 장치 및 방법
US9027739B2 (en) 2011-09-16 2015-05-12 Persimmon Technologies Corporation Wafer transport system
TW201327712A (zh) * 2011-11-01 2013-07-01 Intevac Inc 以電漿處理太陽能電池晶圓之系統架構
US20130129922A1 (en) * 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US20140080304A1 (en) * 2012-09-14 2014-03-20 Stmicroelectronics, Inc. Integrated tool for semiconductor manufacturing
CN104157592B (zh) * 2013-05-13 2017-08-25 上海理想万里晖薄膜设备有限公司 一种增加硅基异质结太阳能电池产能的工艺
US8940646B1 (en) * 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
TWI709185B (zh) 2013-08-26 2020-11-01 美商布魯克斯自動機械公司 基板搬運裝置
US11201073B2 (en) 2013-08-26 2021-12-14 Brooks Automation, Inc Substrate transport apparatus
JP6213079B2 (ja) * 2013-09-09 2017-10-18 シンフォニアテクノロジー株式会社 Efem
WO2015073658A1 (en) 2013-11-13 2015-05-21 Brooks Automation, Inc. Sealed switched reluctance motor
JP6679482B2 (ja) 2013-11-13 2020-04-15 ブルックス オートメーション インコーポレイテッド ブラシレス電気機械の制御方法および装置
KR102503399B1 (ko) 2013-11-13 2023-02-24 브룩스 오토메이션 인코퍼레이티드 씰링된 로봇 드라이브
CN104630719A (zh) * 2013-11-13 2015-05-20 中国科学院沈阳科学仪器股份有限公司 一种全自动电子束沉积系统
TWI695447B (zh) 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
TWI553768B (zh) * 2014-03-04 2016-10-11 台灣積體電路製造股份有限公司 半導體元件之傳輸系統及傳輸方法
JP2015207622A (ja) * 2014-04-18 2015-11-19 株式会社ディスコ 搬送機構
JP6731793B2 (ja) * 2016-06-08 2020-07-29 株式会社ディスコ ウェーハ加工システム
KR20190091018A (ko) * 2018-01-26 2019-08-05 한화정밀기계 주식회사 부품 실장 장치
US11088004B2 (en) 2018-01-30 2021-08-10 Brooks Automation, Inc. Automatic wafer centering method and apparatus
US11574830B2 (en) 2018-03-16 2023-02-07 Brooks Automation Us, Llc Substrate transport apparatus

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1272960A (zh) * 1997-09-30 2000-11-08 塞米图尔公司 具有线性传送系统的半导体处理装置
CN1706024A (zh) * 2002-10-16 2005-12-07 Sez股份公司 用于输送晶圆状物件的装置及方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0649529B2 (ja) 1986-11-28 1994-06-29 日本真空技術株式会社 真空室内における物体の搬送方法
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPH0825151B2 (ja) 1988-09-16 1996-03-13 東京応化工業株式会社 ハンドリングユニット
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JPH0446781A (ja) 1990-06-11 1992-02-17 Seiko Instr Inc 真空内磁気浮上搬送ロボット
JPH08119409A (ja) * 1994-10-27 1996-05-14 Tokyo Electron Ltd 集合処理装置
US6672820B1 (en) * 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US6367410B1 (en) 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6189482B1 (en) 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US5985033A (en) 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
KR100281119B1 (ko) 1998-12-21 2001-03-02 김영환 웨이퍼 로딩 장치
US6634851B1 (en) 1999-01-15 2003-10-21 Asyst Technologies, Inc. Workpiece handling robot
JP4354039B2 (ja) 1999-04-02 2009-10-28 東京エレクトロン株式会社 駆動装置
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
JP2002066976A (ja) 2000-08-28 2002-03-05 Assist Japan Kk 基板搬送用真空ロボット
CN1996553A (zh) 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
JP4389424B2 (ja) * 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
US20040003828A1 (en) 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
KR100980051B1 (ko) 2002-06-21 2010-09-06 가부시키가이샤 에바라 세이사꾸쇼 기판홀더 및 도금장치
WO2004010476A2 (en) * 2002-07-22 2004-01-29 Brooks Automation, Inc. Substrate processing apparatus
WO2005048313A2 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7905960B2 (en) 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
KR101022616B1 (ko) 2004-07-09 2011-03-16 세키스이가가쿠 고교가부시키가이샤 베이스 외주 처리 방법 및 장치
US8668422B2 (en) 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US7519500B2 (en) 2005-03-17 2009-04-14 Qualcomm Incorporated Method for improved location determination accuracy using filtered and unfiltered ranging signals
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7901539B2 (en) 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US8293066B2 (en) 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1272960A (zh) * 1997-09-30 2000-11-08 塞米图尔公司 具有线性传送系统的半导体处理装置
CN1706024A (zh) * 2002-10-16 2005-12-07 Sez股份公司 用于输送晶圆状物件的装置及方法

Also Published As

Publication number Publication date
US20110158773A1 (en) 2011-06-30
EP1965409A3 (en) 2011-11-23
US8303764B2 (en) 2012-11-06
CN101150051A (zh) 2008-03-26
MY148631A (en) 2013-05-15
TWI446477B (zh) 2014-07-21
EP1965409A2 (en) 2008-09-03
TW200832591A (en) 2008-08-01
US20080066678A1 (en) 2008-03-20
US7901539B2 (en) 2011-03-08
SG141371A1 (en) 2008-04-28

Similar Documents

Publication Publication Date Title
CN101150051B (zh) 传输和加工衬底的装置
US10204810B2 (en) Linear vacuum robot with Z motion and articulated arm
US20090191030A1 (en) Apparatus and methods for transporting and processing substrates
US8293066B2 (en) Apparatus and methods for transporting and processing substrates
EP1535313B1 (en) Substrate processing apparatus
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
KR101829186B1 (ko) Z 동작 및 관절 아암을 갖는 선형 진공 로봇
US8602706B2 (en) Substrate processing apparatus
TWI486999B (zh) 基板處理裝置
JP2008520837A (ja) ウエハファブ
US9177842B2 (en) Degassing apparatus adapted to process substrates in multiple tiers with second actuator
EP1027724A1 (en) Modular substrate processing system
WO2006042273A1 (en) Substrate processing apparatus
KR100549455B1 (ko) 공작물의 진공처리 공정 및 그 시스템과 모듈
WO2007101228A2 (en) Semiconductor wafer handling and transport
JP5247094B2 (ja) 基板処理システム
US20040234360A1 (en) System for conveying and transferring semiconductor or liquid crystal wafer one by one
JP5388279B2 (ja) 基板搬送処理装置及び方法
TWI488247B (zh) 輸送及處理基板之裝置與方法
EP2187433B1 (en) Apparatus and method for transporting and processing substrates
KR20190088023A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록매체
CN101740439A (zh) 用于传输和处理衬底的装置和方法
KR20100098061A (ko) 기판을 이송 및 처리하는 장치 및 방법
CN116895570A (zh) 一种应用于多腔室多工艺的传送片系统及方法
TW200915464A (en) Compact substrate transport system with fast swap robot

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: BROOKS AUTOMATION INC.

Free format text: FORMER OWNER: INTEVAC, INC.

Effective date: 20140416

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20140416

Address after: Massachusetts USA

Patentee after: Brooks Automation Inc.

Address before: American California

Patentee before: Intevac, Inc.