KR20120096599A - 기판 보유지지구 및 기판 반송 장치 및 기판 처리 장치 - Google Patents

기판 보유지지구 및 기판 반송 장치 및 기판 처리 장치 Download PDF

Info

Publication number
KR20120096599A
KR20120096599A KR1020127020462A KR20127020462A KR20120096599A KR 20120096599 A KR20120096599 A KR 20120096599A KR 1020127020462 A KR1020127020462 A KR 1020127020462A KR 20127020462 A KR20127020462 A KR 20127020462A KR 20120096599 A KR20120096599 A KR 20120096599A
Authority
KR
South Korea
Prior art keywords
substrate
board
semiconductor wafer
substrate holding
holding tool
Prior art date
Application number
KR1020127020462A
Other languages
English (en)
Other versions
KR101259862B1 (ko
Inventor
츠토무 히로키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20120096599A publication Critical patent/KR20120096599A/ko
Application granted granted Critical
Publication of KR101259862B1 publication Critical patent/KR101259862B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/04Arrangements of vacuum systems or suction cups
    • B65G2249/045Details of suction cups suction cups

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

(과제) 기판의 이면 상태나 휨에도 영향받지 않고, 반송체의 재치면 상에서 기판의 위치가 다소 어긋나도 기판을 올바른 자세로 안정되게 보유지지(holding)할 수 있는 기판 보유지지구를 제공한다.
(해결 수단) 이 기판 보유지지구(50)는, 반도체 웨이퍼(W)의 주연부를 보유지지할 때 있어서, 패드 본체(52) 상의 잔디 형상 돌기부(54)의 일부가 반도체 웨이퍼(W)의 아래에 숨고, 나머지는 반도체 웨이퍼(W)의 밖으로 노출된다. 그리고, 반도체 웨이퍼(W)의 아래에 숨은 돌기부(54)는, 반도체 웨이퍼(W)의 이면(WB)에 접촉하고, 반도체 웨이퍼(W)를 중력으로 적당한 깊이로 가라앉혀, 주로 세로 방향으로 반도체 웨이퍼(W)를 보유지지한다. 또한, 반도체 웨이퍼(W)의 주연부의 근처에서 노출되어 있는 돌기부(54) 중 몇 개는 반도체 웨이퍼(W)의 측면(Ws)에 접촉하여, 주로 가로 방향으로 반도체 웨이퍼(W)를 보유지지한다.

Description

기판 보유지지구 및 기판 반송 장치 및 기판 처리 장치{SUBSTRATE HOLDER, SUBSTRATE TRANSFER APPARATUS, AND SUBSTRATE PROCESSING APPARATUS}
본 발명은, 피(被)처리 기판을 반송 아암 상에서 보유지지(holding)하기 위한 기판 보유지지구 및, 이 기판 보유지지구를 이용하는 매엽식의 기판 반송 장치 및 기판 처리 장치에 관한 것이다.
오늘날, 반도체 디바이스나 FPD(Flat Panel Display)의 제조 라인에서는, 피처리 기판(반도체 웨이퍼, 유리 기판 등)을 1매씩 처리하는 매엽식의 형태를 취하는 공정이 있다. 특히, 최근에는, 프로세스의 일관화, 연결화 혹은 복합화를 도모하기 위해, 반송계의 반송로를 따라서, 또는 그의 주위에 다수대의 처리 유닛을 집약 배치하는 멀티 매엽식의 인라인(in-line) 처리 시스템이 증가하고 있다.
이러한 매엽식의 처리 유닛용 혹은 멀티 매엽식의 인라인 처리 시스템용의 반송계에는, 기판을 1매 단위로 보유지지하고, 각 처리 유닛에 대하여 기판을 1매 단위로 반입 또는 반출하는 매엽식의 기판 반송 장치가 사용되고 있다. 통상, 이런 종류의 기판 반송 장치는, 반송 로봇으로 구성되며, 기판을 1매 단위로 위를 향하게 올려, 선회 운동, 승강 이동 및, 진퇴 이동을 행할 수 있는 1개 또는 수 개의 반송 아암을 구비하고 있다.
이러한 기판 반송 장치의 반송 아암에는, 반송 중에 기판이 위치 어긋남이 생기거나 떨어지거나 하지 않도록 위를 향한 자세의 기판을 아암 상에서 보유지지하는 기판 보유지지부(보유지지구 또는 보유지지 기구)가 구비되어 있다. 종래의 이런 종류의 기판 보유지지부에는, 이면 마찰 방식, 테이퍼 패드 방식, 떨어뜨림 방식, 진공 흡착 방식이 이용되고 있다.
이면 마찰 방식은, 반송 아암의 상면 또는 재치면에 복수개의 판조각 형상 또는 블록 형상의 보유지지 패드를 이산적으로 부착한다. 그리고, 기판을 이들 보유지지 패드의 위에 위를 향하게 올려, 기판의 이면과 패드 표면과의 사이의 마찰력으로 기판을 보유지지한다(예를 들면 특허문헌 1의 도 8 및 그의 설명문 참조). 이러한 보유지지 패드의 재질에는 엘라스토머(elastomer), 세라믹 등이 이용되고 있다.
테이퍼 패드 방식은, 테이퍼 측면을 갖는(종단면이 사다리꼴 형상의) 보유지지 패드를 기판을 둘러싸도록 소정의 간격을 두고, 복수개 이산적으로 반송 아암의 재치면에 부착한다. 그리고, 이들 보유지지 패드의 테이퍼 측면에 기판의 주연부가 걸어맞춤되도록 하여, 기판을 위를 향하게 보유지지한다(예를 들면 특허문헌 1의 도 4 및 그의 설명문 참조). 이 방식에서는, 반송 아암의 재치면의 위에서, 기판이 보유지지 패드의 정면(頂面)보다도 높은 위치로부터 테이퍼 측면을 따라서 떨어뜨려져, 기판의 중력과 보유지지 패드로부터의 반작용이 균형잡힌 테이퍼 측면의 도중의 위치에서 기판이 선접촉으로 보유지지된다.
떨어뜨림 방식은, 반송 아암의 픽(pick)부를 기판의 윤곽 형상을 따라 그보다도 조금 큰 포크로 형성하고, 기판을 둘러싸도록 소정의 간격을 두고 내측으로 돌출되어 연장되는 복수개의 클로(claw)부를 포크에 부착한다. 그리고, 이들 클로부의 내측면에 형성된 경사면을 따라서 기판을 클로부의 저면(재치면)까지 떨어뜨려, 클로부의 저면에서 기판을 면접촉으로 위를 향하게 보유지지한다(예를 들면 특허문헌 2의 도 4 및 그의 설명문 참조).
진공 흡착 방식은, 반송 아암의 재치면에 복수개의 흡착구를 설치하고, 이들 흡착구의 위에 기판의 이면을 올리고, 당해 흡착구에 공기 통로를 통하여 접속되어 있는 진공 흡인 장치에 진공 흡인을 행하게 함으로써, 기판을 흡착구에서 반송 아암에 흡착 고정한다(예를 들면 특허문헌 2의 도 3 및 그의 설명문 참조).
일본공개특허공보 제2000-3951호 일본공개특허공보 제2002-64057호
반도체 디바이스나 FPD의 제조 라인에 있어서, 기판 반송 기술은 생산 효율을 높이는데 있어서 중요하며, 기판 반송 장치의 반송 속도는 일진 월보로 향상되고 있다. 특히, 멀티 매엽식의 인라인 처리 시스템에 사용되는 매엽식의 기판 반송 장치에 있어서는, 기판을 보유지지하는 반송 아암의 동작이 슬라이드 이동이나 승강 이동뿐만 아니라 선회 운동도 포함하여 고속화되고 있다. 한편, 기판의 대형화에 의해, 반송 아암의 선단부(先端部)가 기판의 중력때문에 앞쪽으로 기울어지기 쉽다.
이러한 기술적 배경하에서, 반송 아암 상에서 위를 향한 자세의 기판을 보유지지하기 위한 기판 보유지지부의 성능 내지 기능성이, 기판 반송 장치의 반송 능력, 나아가서는 기판 처리 장치 또는 처리 시스템의 스루풋을 좌우하는 중요한 요소 기술이 되고 있다.
그 점, 상기와 같은 이면 마찰 방식은, 기판의 이면과 패드 표면과의 사이의 마찰력만으로 기판을 보유지지하기 때문에, 본질적으로 기판 보유지지력이 약해, 기판이 미끄러져 반송 아암으로부터 탈락되기 쉽다. 또한, 기판의 이면 상태에 따라 마찰 계수 즉, 보유지지력이 좌우되는 점이나, 기판이 휘어져 있을 때의 보유지지가 어려운 점 등의 약점이 있다.
한편, 테이퍼 패드 방식이나 떨어뜨림 방식은, 반송 아암 상에 기판을 올릴 때의 위치가 어긋나면, 기판이 패드 또는 클로부의 위에 올려져 비스듬하게 기울어지기 쉽다. 반송 아암 상에서 기판이 비스듬하게 기울면, 기판이 탈락되기 쉬위질뿐만 아니라, 비록 탈락되지 않아도, 반송 아암 상의 기판의 위치를 광학 센서로 검출하는 것이 어려워져, 결과적으로, 당해 반송 아암으로부터 다른 기판 지지부(예를 들면, 처리 유닛 내의 기판 재치대)로 기판을 이재(移載)할 때의 위치 맞춤이 어려워진다.
또한, 테이퍼 패드 방식은, 보유지지 패드의 테이퍼 측면과 기판과의 사이에 적당한 마찰 계수를 필요로 하여, 보유지지 패드의 재질에는 엘라스토머를 자주 사용하고 있다. 다만, CVD(Chemical Vapor Deposition) 등의 고온의 처리를 받는 기판의 반송에는, 엘라스토머의 내열 온도가 낮기 때문에(약 300℃), 보유지지 패드의 재질에 세라믹이 이용된다. 그러나, 이면 마찰 방식에서도 해당되는 것이지만, 접촉 타입의 보유지지 패드가 세라믹제인 경우는, 기판이 미끄러지기 쉬워, 안정된 보유지지가 곤란해진다.
진공 흡착 방식은, 기판 보유지지력의 면에서는 전혀 문제없지만, 반송 아암의 구조 내지 기판 반송 장치의 설비를 번잡화?고가격화시키는 것이 실용상의 큰 불리한 점으로 되고 있어, 감압하에서 동작하는 진공 반송 장치에서는 기능할 수 없다는 사용상의 제한도 있다. 또한, 파티클이 부착되기 쉽다는 문제도 있다.
본 발명은, 상기와 같은 종래 기술의 문제점을 해결하는 것으로, 반송 아암 등의 반송체에 특별한 세공을 필요로 하는 일 없이 간단하고 염가로 부착 가능하며, 기판의 이면 상태나 휨에도 영향받지 않고, 반송체의 재치면 상에서 기판의 위치가 다소 어긋나도 기판을 올바른 자세로 안정되게 보유지지할 수 있는 기판 보유지지구 및, 이 기판 보유지지구를 이용하는 매엽식의 기판 반송 장치 및 기판 처리 장치를 제공한다.
본 발명의 제1 관점에 있어서의 기판 보유지지구는, 피처리 기판을 반송하는 반송체의 재치면에 부착되고, 상기 기판을 그의 기판 주연부에 접촉하여 보유지지하는 기판 보유지지구로서, 상기 반송체의 재치면에 고정되는 판 형상 또는 블록 형상의 패드 본체와, 상기 패드 본체로부터 연장되는 탄성적으로 변형 가능한 복수의 돌기부를 갖고, 상기 복수의 돌기부의 일부가 상기 기판의 이면을 보유지지하며, 상기 복수의 돌기부의 다른 일부가 상기 기판의 측면을 보유지지한다.
본 발명의 제2 관점에 있어서의 기판 보유지지구는, 피처리 기판을 위를 향하게 올려 반송하는 반송체의 재치면에 부착되고, 상기 기판을 그의 기판 주연부에 접촉하여 보유지지하는 기판 보유지지구로서, 상기 반송체의 재치면에 고정되는 판 형상 또는 블록 형상의 패드 본체와, 상기 패드 본체의 상면으로부터 상방으로 연장되는 탄성적으로 변형 가능한 복수의 돌기부를 갖고, 상기 복수의 돌기부의 일부가, 상기 기판의 이면에 접촉하여 주로 상기 기판의 판면과 수직인 방향으로 상기 기판을 보유지지하고, 상기 복수의 돌기부의 다른 일부가, 상기 기판의 측면에 접촉하여 주로 상기 기판의 판면과 평행한 방향으로 상기 기판을 보유지지한다.
본 발명의 기판 보유지지구에 있어서는, 반송체의 재치면 상에서 피처리 기판의 주연부를 보유지지할 때 있어서, 기판의 아래에 숨는 돌기부는 기판의 이면을 보유지지하고, 기판의 주연부의 근처에서 노출되어 있는 돌기부 중 몇 개는 기판의 측면을 보유지지한다. 돌기부의 형상, 사이즈, 배치 밀도, 탄성력 등을 파라미터로 함으로써, 세로 방향 및 가로 방향의 각각에서 기판 보유지지력을 임의로 조정할 수 있다.
본 발명의 기판 반송 장치는, 피처리 기판을 반송하기 위한 기판 반송 장치로서, 대기압하 또는 감압하의 실내에서 이동할 수 있도록 구성된 반송 베이스부와, 상기 반송 베이스부에 탑재되고, 상기 기판을 올려 지지할 수 있도록 구성된 반송 아암과, 상기 반송 베이스부 상에서 상기 반송 아암을 소정의 방향으로 이동시키기 위한 아암 구동부와, 상기 기판을 보유지지하기 위해 상기 반송 아암에 부착되어, 본 발명의 기판 보유지지구를 포함하는 기판 보유지지부를 갖는다.
본 발명의 기판 처리 장치는, 대기압하 또는 감압하에서 피처리 기판에 소정의 처리를 행하기 위한 매엽식의 처리 유닛과, 본 발명의 기판 보유지지구를 부착한 반송 아암을 갖고, 상기 반송 아암에 상기 기판을 올려, 상기 기판을 반송하여, 상기 처리 유닛에 상기 기판을 반입하거나, 또는 상기 처리 유닛으로부터 상기 기판을 반출하는 기판 반송 기구를 갖는다
본 발명의 기판 보유지지구는, 상기와 같은 구성 및 작용에 의해, 반송 아암 등의 반송체에 특별한 세공을 필요로 하는 일 없이 간단하고 염가로 부착 가능하며, 기판의 이면 상태나 휨에도 영향받지 않고, 반송체의 재치면 상에서 기판의 위치가 다소 어긋나도 기판을 올바른 자세로 안정되게 보유지지할 수 있다.
본 발명의 기판 반송 장치는, 본 발명의 기판 보유지지구를 이용함으로써, 반송 아암으로 기판을 보유지지하여 반송할 때의 아암 자세, 아암 이동 궤적, 반송 속도를 기판 보유지지부의 제한을 받는 일 없이 임의로 그리고 자유롭게 선택하는 것이 가능하여, 반송 능력을 향상시킬 수 있다.
본 발명의 기판 처리 장치는, 본 발명의 기판 보유지지구를 이용함으로써, 반송 효율을 개선하여, 스루풋을 향상시킬 수 있다.
도 1은 본 발명의 일 실시 형태에 있어서 기판 처리 장치의 구성을 나타내는 평면도이다.
도 2는 상기 기판 처리 장치에서 사용되는 기판 반송 장치의 반송 아암의 요부의 외관 구성을 나타내는 사시도이다.
도 3은 제1 실시예에 있어서 기판 보유지지구의 외관 구성을 개략적으로 나타내는 사시도이다.
도 4는 상기 기판 보유지지구의 일 작용을 개략적으로 나타내는 측면도이다.
도 5는 상기 기판 보유지지구의 일 작용을 개략적으로 나타내는 측면도이다.
도 6은 상기 기판 보유지지구의 일 작용을 개략적으로 나타내는 측면도이다.
도 7은 제1 실시예의 일 변형예에 있어서 기판 보유지지구의 외관 구성을 개략적으로 나타내는 사시도이다.
도 8은 제2 실시예에 있어서 기판 보유지지구의 외관 구성을 개략적으로 나타내는 평면도이다.
도 9는 상기 기판 보유지지구의 돌기부의 구성을 나타내는 사시도이다.
도 10은 상기 기판 보유지지구의 구성을 개략적으로 나타내는 일부 단면 측면도이다.
도 11은 상기 기판 보유지지구의 일 작용을 개략적으로 나타내는 측면도이다.
도 12는 상기 기판 보유지지구의 일 작용을 개략적으로 나타내는 측면도이다.
도 13은 제2 실시예의 일 변형예에 있어서 기판 보유지지구의 구성을 나타내는 도면(측면도 및 부분 확대 단면도)이다.
도 14는 제2 실시예의 제2 변형예에 있어서 기판 보유지지구의 외관 구성을 개략적으로 나타내는 평면도이다.
도 15는 상기 제2 변형예에 있어서 기판 보유지지구의 외관 구성을 확대하여 나타내는 평면도이다.
도 16은 상기 제2 변형예에 있어서 기판 보유지지구의 구성을 나타내는 도면(측면도 및 부분 확대 단면도)이다.
도 17은 FPD용의 직사각형 기판을 반송하기 위한 반송 아암에 실시 형태의 기판 보유지지구를 부착한 예를 나타내는 평면도이다.
(발명을 실시하기 위한 형태)
이하, 첨부 도면를 참조하여 본 발명의 적합한 실시 형태에 대해서 설명한다.
[실시 형태의 기판 반송 장치 및 기판 처리 장치]
도 1 및 도 2에, 본 발명의 일 실시 형태에 따른 기판 처리 장치의 구성을 나타낸다. 이 기판 처리 장치는, 멀티 매엽식 인라인 처리 시스템의 일종인 클러스터 툴 방식의 진공 처리 장치로서 구성되어 있다.
이 클러스터 툴 방식의 진공 처리 장치는, 클린룸 내에 설치되고, 장치 깊이 방향으로 연장되는 한 쌍의 변이 다른 변보다도 약 2배 긴 육각형의 형상을 갖는 진공의 플랫폼(진공 반송실)(PH)의 주위에 6개의 진공 프로세스?챔버(진공 처리실)(PC1, PC2, PC3, PC4, PC5, PC6)와 2개의 로드락?챔버(로드락실)(LLCa, LLCb)를 클러스터 형상으로 배치하고 있다.
더욱 상세하게는, 플랫폼(PH)에는, 도면의 시계 회전의 순서로, 제1 긴 변에 2대의 프로세스?챔버(PC1, PC2)가 게이트 밸브(GV1, GV2)를 통하여 연결되고, 제1 및 제2 짧은 변에 프로세스?챔버(PC3, PC4)가 게이트 밸브(GV3, GV4)를 통하여 각각 1대씩 연결되고, 제2 긴 변에 2대의 프로세스?챔버(PC5, PC6)가 게이트 밸브(GV5, GV6)를 통하여 연결되고, 제3 및 제4 짧은 변에 로드락?챔버(LLCa, LLCb)가 게이트 밸브(GVa, GVb)를 통하여 각각 1대씩 연결되어 있다.
각각의 프로세스?챔버(PC1?PC6)는, 전용의 진공 배기 장치(도시하지 않음)에 접속되어 있어, 실내가 가변의 압력으로 상시 감압 상태로 유지된다. 전형적으로는, 실내의 중앙부에 배치한 재치대(10)의 위에 피처리체, 예를 들면 반도체 웨이퍼(W)를 올리고, 소정의 용력(用力)(처리 가스, 고주파 등)을 이용하여 필요한 매엽 처리, 예를 들면 CVD, ALD(Atomic Layer Deposition) 혹은 스퍼터 등의 진공 성막 처리, 열처리, 반도체 웨이퍼 표면의 클리닝 처리, 드라이 에칭 가공 등을 행하도록 되어 있다.
플랫폼(PH)은, 전용의 진공 배기 장치(도시하지 않음)에 접속되어 있어, 실내가 통상은 일정한 압력으로 상시 감압 상태로 유지된다. 실내에는, 신축 가능한 한 쌍의 반송 아암(12, 14)을 갖고, 슬라이드 동작, 선회 동작 및, 승강 동작이 가능한 매엽식의 진공 반송 로봇(기판 반송 장치)(16)이 설치되어 있다.
로드락?챔버(LLCa, LLCb)는, 각각 개폐 밸브를 통하여 전용의 진공 배기 장치(도시하지 않음)에 접속되어 있어, 실내를 대기압 상태 및 진공 상태 중 어느 것으로도 수시 전환될 수 있도록 되어 있다. 플랫폼(PH)으로부터 보아 반대측에서 로드락?챔버(LLCa, LLCb)는 각각 게이트 밸브(GVc, GVd)를 통하여 대기압하의 로더 반송실(LM)에 연결되어 있다. 로드락?챔버(LLCa, LLCb)의 실내의 중앙부에는 유치(留置) 중의 반도체 웨이퍼(W)를 올리는 인수인도대(18)가 배치되어 있다.
로더 반송실(LM)과 인접하여 로드 포트(LP) 및 위치 맞춤 기구(ORT)가 설치되어 있다. 로드 포트(LP)는, 외부 반송차와의 사이에서, 예를 들면 1배치(batch) 25매의 반도체 웨이퍼(W)를 수납 가능한 웨이퍼 카세트(CR)의 투입, 배출에 이용된다. 여기에서, 웨이퍼 카세트(CR)는 FOUP(Front open unified pod)나 SMIF(Standard Mechanical Interface) 박스 등으로 구성되어 있다. 위치 맞춤 기구(ORT)는, 반도체 웨이퍼(W)의 노치 또는 오리엔테이션 플랫(orientation flat)을 소정의 위치 또는 방향으로 맞추기 위해 이용된다.
로더 반송실(LM) 내에 설치되어 있는 매엽식의 대기 반송 로봇(기판 반송 장치)(20)은, 상하 2단 겹침의 신축 가능한 한 쌍의 반송 아암(22, 24)을 갖고, 리니어 모터(26)의 리니어 가이드(28) 상에서 수평 방향으로 이동 가능함과 함께, 승강?선회 가능하고, 로드 포트(LP), 위치 맞춤 기구(ORT) 및 로드락?챔버(LLCa, LLCb)의 사이를 왕래하여 반도체 웨이퍼(W)를 매엽 단위(혹은 배치 단위)로 반송한다. 이 대기 반송 로봇(20)은, 각각의 웨이퍼 카세트(CR) 전면(前面)에 설치되어 있는 LP 도어(25)의 열림 상태에서 반도체 웨이퍼(W)를 로더 반송실(LM) 내에 반입한다. 리니어 가이드(28)는, 예를 들면 영구 자석으로 이루어지는 마그넷, 구동용 자기 코일 및 스케일 헤드 등으로 구성되고, 제어부(30)로부터의 커맨드에 따라서 대기 반송 로봇(20)의 직선 운동 제어를 행한다.
여기에서, 로드 포트(LP)에 투입된 웨이퍼 카세트(CR) 내의 1매의 웨이퍼에, 이 클러스터 툴 내에서 일련의 처리를 받게 하기 위한 기본적인 웨이퍼 반송 시퀀스를 설명한다.
로더 반송실(LM) 내의 대기 반송 로봇(20)은, 로드 포트(LP) 상의 웨이퍼 카세트(CR)로부터 1매의 반도체 웨이퍼(W)를 취출하고, 이 반도체 웨이퍼(W)를 위치 맞춤 기구(ORT)로 반송하여 위치 맞춤을 받게 하고, 그것이 끝난 후에 로드락?챔버(LLCa, LLCb) 중 어느 한쪽(예를 들면 LLCa)에 이송한다. 이송처인 로드락?챔버(LLCa)는, 대기압 상태로 반도체 웨이퍼(W)를 수취하고, 반입 후에 실내를 진공 흡인하여, 감압 상태로 반도체 웨이퍼(W)를 플랫폼(PH)의 진공 반송 로봇(16)으로 전달한다.
진공 반송 로봇(16)은, 반송 아암(12, 14)의 한쪽을 이용하여, 로드락?챔버(LLCa)로부터 취출한 반도체 웨이퍼(W)를 1번째의 프로세스?챔버(예를 들면 PC1)에 반입한다. 프로세스?챔버(PC1) 내에서는, 미리 설정된 레시피에 따라 소정의 프로세스 조건(가스, 압력, 전력, 시간 등)으로 제1 공정의 매엽 처리가 행해진다.
이 제1 공정의 매엽 처리가 종료된 후에, 진공 반송 로봇(16)은, 반도체 웨이퍼(W)를 프로세스?챔버(PC1)로부터 반출하고, 그 반출한 반도체 웨이퍼(W)를 다음에 2번째의 프로세스?챔버(예를 들면 PC2)로 반입한다. 이 2번째의 프로세스?챔버(PC2)에서도, 미리 설정된 레시피에 따라 소정의 프로세스 조건으로 제2 공정의 매엽 처리가 행해진다.
이 제2 공정의 매엽 처리가 종료되면, 진공 반송 로봇(16)은, 반도체 웨이퍼(W)를 2번째의 프로세스?챔버(PC2)로부터 반출하고, 그 반출한 반도체 웨이퍼(W)를, 다음 공정이 있을 때는 3번째의 프로세스?챔버(예를 들면 PC3)로 반입하고, 다음 공정이 없을 때는 로드락?챔버(LLCa, LLCb)의 한쪽으로 반송한다. 3번째 이후의 프로세스?챔버(예를 들면 PC5)에서 처리가 행해진 경우도, 그 후에 다음 공정이 있을 때는 후단의 프로세스?챔버(예를 들면 PC6)에 반입하고, 다음 공정이 없을 때는 로드락?챔버(LLCa, LLCb)의 한쪽으로 되돌린다.
또한, 플랫폼(PH)의 진공 반송 로봇(16)은, 그의 주위의 각 프로세스?챔버(PC1?PC6) 또는 각 로드락?챔버(LLCa, LLCb)에 대한 1회의 액세스로, 한 쌍의 반송 아암(12, 14)을 교대로 사용하여, 최초로 당해 모듈로부터 반도체 웨이퍼(W)를 반출하고, 이어서 그것과 교체하여 당해 모듈에 다른 반도체 웨이퍼(W)를 반입하는 픽&플레이스 동작을 행할 수 있도록 되어 있다.
상기와 같이 하여 클러스터 툴 내의 복수의 프로세스?챔버(PC1, PC2‥)에서 일련의 처리를 받은 반도체 웨이퍼(W)가 로드락?챔버의 한쪽(예를 들면 LLCb)에 반입되면, 이 로드락?챔버(LLCb)의 실내는 감압 상태에서 대기압 상태로 전환된다. 그런 후에, 로더 반송실(LM) 내의 대기 반송 로봇(20)이, 대기압 상태의 로드락?챔버(LLCb)로부터 반도체 웨이퍼(W)를 취출하여 해당하는 웨이퍼 카세트(CR)로 되돌린다. 또한, 로드락?챔버(LLCa, LLCb)에 있어서 체재 중인 반도체 웨이퍼(W)에, 소망하는 분위기하에서 가열 또는 냉각 처리를 행할 수도 있다.
상기와 같이, 이 클러스터 툴 방식의 진공 처리 장치는, 감압하의 플랫폼(PH)을 통하여 하나의 반도체 웨이퍼(W)를 복수의 프로세스?챔버에 순차 전송함으로써, 당해 반도체 웨이퍼(W)에 일련의 진공 처리를 인라인으로 연속적으로 행하는 것이 가능하며, 특히 진공 박막 형성 가공에서는 복수의 프로세스?챔버에서 상이한 성막 가공을 연속적으로 행하여 소망하는 박막을 인라인으로 적층 형성할 수 있다.
이 클러스터 툴 방식의 진공 처리 장치에 있어서, 플랫폼(PH) 내에는, 그의 길이 방향으로 한 쌍의 가이드 레일(32)과 볼나사 기구(34)의 이송 나사(36)가 평행하게 설치되어 있고, 진공 반송 로봇(16)은 볼나사 기구(34)의 직진 구동에 의해 가이드 레일(32) 상을 슬라이드 이동할 수 있도록 되어 있다. 볼나사 기구(34)에 있어서, 이송 나사(36)의 일단(一端)은 모터(38)에 결합되어 있다.
진공 반송 로봇(16)은, 슬라이드 동작을 행하는 반송 베이스부(40)와, 반송 아암(12, 14)의 픽부(12a, 14a)를 선회 반경과 평행한 방향으로 왕복 직진 이동 또는 진퇴 이동시키는 아암 신축 구동부(42)를 갖고 있다. 아암 신축 구동부(42)는, 수평 다관절 로봇으로 이루어지는 반송 아암(12, 14)을 신축 운동시켜, 상기와 같은 반도체 웨이퍼(W)의 반입출 또는 픽&플레이스 동작을 행한다. 아암 신축 구동부(42), 베이스부(40) 내의 선회 구동부, 승강 구동부 및, 볼나사 기구(34)(모터(38))의 각 동작은 제어부(30)에 의해 제어된다.
이하, 이 클러스터 툴 방식의 진공 처리 장치에 있어서, 진공 반송 로봇(16)의 반송 아암(12, 14)에 적용한 본 발명의 실시 형태에 따른 기판 보유지지구에 대해서 설명한다.
진공 반송 로봇(16)의 각 반송 아암(12, 14)은, 반도체 웨이퍼(W)를 위를 향하게 올린 상태로 선회 운동, 승강 이동 혹은 진퇴 이동을, 임의로 그리고 고속으로 행할 수 있도록, 반도체 웨이퍼(W)를 안정되게 보유지지하기 위한 본 발명의 기판 보유지지구(도 1에서는 도시하지 않음)를 부착하고 있다.
구체적으로는, 도 2에 나타내는 바와 같이, 반송 아암(12(14))의 포크 형상의 픽부(12a(14a))의 상면 또는 재치면에는, 복수개(도시의 예는 4개)의 본 발명에 의한 기판 보유지지구(50)가, 적당한 간격을 두고 반도체 웨이퍼(W)의 주연부를 보유지지하도록 이산적으로 소정의 개소, 즉 포크 형상의 픽부(12a, 14a)의 기단부(基端部)부 및 선단부에 부착되어 있다.
이 진공 반송 로봇(16)은, 각 반송 아암(12, 14)에 후술하는 본 발명의 기판 보유지지구(50)를 부착함으로써, 각 반송 아암(12, 14)에서 반도체 웨이퍼(W)를 보유지지하여 반송할 때의 아암 자세, 아암 이동 궤적, 반송 속도를 기판 보유지지부의 제한을 받는 일 없이 임의로 그리고 자유롭게 선택하는 것이 가능하여, 반송 능력을 향상시킬 수 있다.
또한, 플랫폼(PH)에서 가동하는 진공 반송 로봇(16)의 반송 능력이 향상됨으로써, 상기 클러스터 툴 방식의 진공 처리 장치에 있어서 시스템 전체의 스루풋이 향상된다.
[기판 보유지지구에 관한 실시예 1]
도 3에, 본 발명의 제1 실시예에 있어서 기판 보유지지구(50)의 외관 구성을 나타낸다. 도 4?도 6에, 이 기판 보유지지구(50)의 작용을 나타낸다.
이 실시예에 있어서의 기판 보유지지구(50)는, 반송 아암(12(14))의 재치면에 나사 고정 또는 접착 등으로 고정되는 패드 본체(52)와, 이 패드 본체(52)의 상면에 일정한 사이즈 및 밀도로 설치되어 있는 복수(바람직하게는 다수 또는 무수)의 잔디 형상(특히 직모 타입의 인공 잔디를 닮은) 돌기부(54)를 갖고 있다.
도 2 및 도 3에 나타내는 바와 같이, 기판 보유지지구(50)는, 반도체 웨이퍼(W)의 주연부를 보유지지할 때, 패드 본체(52) 상의 잔디 형상 돌기부(54)의 일부가 반도체 웨이퍼(W)의 아래에 숨고, 나머지의 잔디 형상 돌기부(54)는 반도체 웨이퍼(W)의 밖으로 노출되도록, 반송 아암(12(14))의 소정의 개소에 배치되어 있다.
기판 보유지지구(50)의 패드 본체(52)는, 판조각 형상(예를 들면, 원판 형상) 또는 블록 형상(예를 들면, 원기둥 형상)으로 형성되어 있다. 패드 본체(52)의 상면은, 반송 아암(12(14))의 재치면에 평행하게 되어 있는 것이 바람직하다. 패드 본체(52)의 재질은, 금속이나 세라믹도 사용 가능하지만, 테플론(등록 상표)이나 PEEK(상표명) 등의 수지를 적합하게 이용할 수 있다.
기판 보유지지구(50)의 잔디 형상 돌기부(54)는, 패드 본체(52)의 상면에 고정되는 기부(54a)와, 이 기부(54a)로부터 비스듬히 상방으로 연장되고, 외력 혹은 외부 에너지(반도체 웨이퍼(W)로부터의 중력, 압압력, 열에너지 등)에 따라서 탄성적으로 변형 또는 변위되는 접촉부 또는 자유 단부(54b)를 갖고 있다.
돌기부(54)의 기부(54a)를 패드 본체(52)에 고정하는 구조로서, 예를 들면 도 4에 나타내는 바와 같이, 기부(54a)를 잔디의 뿌리 줄기와 같이 패드 본체(52)에 묻어 식설(植設)하는 형태를 적합하게 취할 수 있다. 혹은, 돌기부(54)를 패드 본체(52)와 일체 성형하는 형태도 가능하다.
돌기부(54)의 접촉부(54b)는, 도 4에 나타내는 바와 같이, 잔디의 잎과 같이 가늘고 길며 선단부가 테이퍼 형상으로 되어 있는 것이 바람직하고, 반도체 웨이퍼(W)와 접촉하지 않을 때는 그의 선단부가 반송 아암(12(14))의 재치면과 직교하는 방향에 대하여 비스듬하게(바람직하게는 30°?60°의 각도로) 기울어져 있는 것이 바람직하며, 반도체 웨이퍼(W)와 접촉하고 있을 때 그의 선단부가 당해 반도체 웨이퍼(W)의 윤곽의 내측을 향하고 있는 것이 바람직하다.
또한, 돌기부(54)는, 외력에 대하여 개개 독립적으로 탄성 변형되도록 되어 있어, 적당한 강성과 탄력률을 갖고 있는 것이 바람직하다. 특히, 돌기부(54)의 탄성 기능으로서, 도 5의 (a)에 나타내는 바와 같이 위로부터의 수직 방향의 힘(FV)에 대해서는 구부러지기 쉬운(탄성률이 작은) 한편, 도 5의 (b)에 나타내는 바와 같이 옆으로부터의 수평 방향의 힘(FH)에 대해서는 구부러지기 어려운(탄성률이 큰) 특성이 바람직하다. 예를 들면, 수직 방향의 힘(FV)에 대하여 구부러지기 쉽게 하려면, 선단부를 가늘게, 수평 방향의 힘(FH)에 대하여 구부러지기 어렵게 하려면, 기부를 굵게 하면 좋다.
돌기부(54)의 재질로서는, 그의 소재 자체가 임의의 방향에서 탄성 변형 가능한 고무 형상 탄성체가 바람직하고, 내열성 및 내약품성이 우수한 불소 고무가 특히 바람직하다. 혹은, 형상에 따라 소정의 방향에서 탄성 변형 가능한 수지, 예를 들면 테플론(등록 상표)이나 PEEK 등도 적합하게 사용할 수 있다.
이 실시예의 기판 보유지지구(50)는, 상기와 같은 돌기부(54)의 탄성 기능에 의해, 반송 아암(12(14)) 상에서 반도체 웨이퍼(W)가 그의 주연부에서 당해 기판 보유지지구(50)의 위에 올려지면, 도 4에 나타내는 바와 같이, 잔디 형상 돌기부(54)의 위에서 반도체 웨이퍼(W)가 적당한 깊이로 가라앉도록 되어 있다. 이 가라앉음 양(D)은, 반도체 웨이퍼(W)의 두께(T)보다는 작고, 그의 반 정도(D=0.4?0.6T)가 가장 바람직하다. 또한, 예를 들면 300㎜ 구경의 반도체 웨이퍼(W)에 있어서는, 두께 T=0.8㎜이다. 반도체 웨이퍼(W)의 휨이나 가라앉음 부족을 고려하면, 가라앉음 양의 상한치는, 반도체 웨이퍼(W)의 두께 정도가 된다.
여기에서, 패드 본체(52) 상의 잔디 형상 돌기부(54) 중, 반도체 웨이퍼(W)의 아래에 숨은 돌기부(54)는, 각각의 접촉부(54b)가 반도체 웨이퍼(W)의 이면(WB)에 접촉하고, 도 5의 (a)와 같이 그의 접촉부(54b)가 아래로 구부러져 반도체 웨이퍼(W)로부터의 세로 방향의 힘(중력)에 저항하여, 주로 세로 방향 즉 반도체 웨이퍼(W)의 판면(또는 반송 아암(12, 14)의 재치면)과 수직인 방향에서 반도체 웨이퍼(W)를 보유지지한다. 또한, 반도체 웨이퍼(W)의 이면(WB)과 접촉하는 돌기부(54)의 개수는 비교적 많아 접촉 면적이 크기 때문에, 접촉 마찰에 의한 가로 방향의 보유지지력도 어느 정도 얻어진다.
패드 본체(52) 상의 잔디 형상 돌기부(54) 중, 반도체 웨이퍼(W)의 주연부의 근처에서 노출되어 있는 돌기부(54) 중 몇 개는 반도체 웨이퍼(W)의 측면(WS)에 접촉하고, 도 5의 (b)와 같이 그의 접촉부(54b)가 옆으로 조금 후퇴하도록 탄성 변형되어 반도체 웨이퍼(W)로부터의 옆으로 향하는 힘(압압력)에 저항하여, 주로 반도체 웨이퍼(W)의 판면과 평행한 방향에서 반도체 웨이퍼(W)를 보유지지한다. 또한, 반도체 웨이퍼(W)의 측면(WS)과 접촉하는 돌기부(54)의 개수는 비교적 적어 접촉 면적이 작기 때문에, 세로 방향의 접촉 마찰 또는 항력은 작다.
또한, 일반적으로 반도체 웨이퍼(W)의 주연부는 둥근 가공이 행해지고 있어, 도 4에 나타내는 바와 같이, 잔디 형상 돌기부(54) 중에는 이 둥근 부분의 주연 둥근 사면(WR)에 접촉하는 것도 있다. 이와 같이 반도체 웨이퍼(W)의 주연 둥근 사면(WR)에 접촉하는 잔디 형상 돌기부(54)는, 반도체 웨이퍼(W)의 이면(WB)에 접촉하는 돌기부(54)와 반도체 웨이퍼(W)의 측면(WS)에 접촉하는 돌기부(54)와의 사이에서 중간에 위치하고, 중간의 탄성 변형 자세를 취해, 반도체 웨이퍼(W)의 판면과 수직인 방향 및 평행한 방향으로 반도체 웨이퍼(W)를 보유지지한다. 이 의미에서, 반도체 웨이퍼(W)의 주연 둥근 사면(WR)은, 웨이퍼 측면 및 웨이퍼 이면 쌍방의 면을 갖고 있다.
도 6에 나타내는 바와 같이, 반도체 웨이퍼(W)가 밖으로 이재되기 위해 반송 아암(12(14))으로부터 상대적으로 상방으로 분리하면, 그때까지 반도체 웨이퍼(W)와의 접촉으로 탄성적으로 변형되어 있던 돌기부(54)는, 탄성 복원력에 의해 원래(무부하시) 상태 또는 원자세로 되돌아가도록 되어 있다.
상기와 같이, 이 실시예의 기판 보유지지구(50)는, 반송 아암(12(14))의 재치면에 고정되는 패드 본체(52)와, 이 패드 본체(52)의 상면에 설치되어 있는 다수의 잔디 형상 돌기부(54)를 갖고, 반도체 웨이퍼(W)의 주연부를 보유지지할 때 있어서, 패드 본체(52) 상의 잔디 형상 돌기부(54)의 일부가 반도체 웨이퍼(W)의 아래에 숨고, 나머지는 반도체 웨이퍼(W)의 밖으로 노출된다. 그리고, 반도체 웨이퍼(W)의 아래에 숨은 돌기부(54)는, 반도체 웨이퍼(W)의 이면(WB)에 접촉하여, 비교적 작은 탄성률로 비교적 크게 하방으로 변형 또는 변위되어, 반도체 웨이퍼(W)를 중력으로 적당한 깊이로 가라앉혀, 주로 세로의 방향으로 반도체 웨이퍼(W)를 보유지지한다. 또한, 반도체 웨이퍼(W)의 주연부의 근처에서 노출되어 있는 돌기부(54) 중 몇 개는 반도체 웨이퍼(W)의 측면(WS)에 접촉하여, 큰 탄성률로 가로 방향으로 조금만 변형되어, 주로 가로의 방향으로 반도체 웨이퍼(W)를 보유지지한다. 또한, 반도체 웨이퍼(W)의 측면(WS)에 직접 접촉하지 않는 돌기부(54) 중에는, 반도체 웨이퍼(W)의 측면(WS)에 접촉하는 돌기부(54)를 배후에서 지지하는 것도 있어, 그 수는 결코 적지는 않다. 잔디 형상 돌기부(54)의 굵기, 높이, 형상, 배치 밀도, 탄성력, 반도체 웨이퍼(W)의 중량 등을 파라미터로 함으로써, 세로 방향 및 가로 방향의 각각에서 웨이퍼 보유지지력을 임의로 조정할 수 있다.
이 실시예의 기판 보유지지구(50)는, 상기와 같은 구성 및 작용에 의해, 반송 아암(12(14)) 상에서 위를 향한 자세의 반도체 웨이퍼(W)를 안정되고 확실히 보유지지할 수 있다.
즉, 기판 보유지지구(50)는, 반도체 웨이퍼(W)의 아래에 숨는 돌기부(54)를 하향으로 탄성 변위시켜 반도체 웨이퍼(W)를 수평 자세인 채 가라앉히기 때문에, 반송 아암(12(14)) 상에 반도체 웨이퍼(W)를 올릴 때의 위치가 다소 어긋나도, 반도체 웨이퍼(W)의 주연부가 각 기판 보유지지구(50)의 잔디 형상 돌기부(54)의 일부의 위에 올려지는 한, 반도체 웨이퍼(W)는 기울지 않고 수평 자세로 기판 보유지지구(50)에 보유지지된다.
가로 방향에 있어서는, 주로 돌기부(54)의 일부가 반도체 웨이퍼(W)의 측면(WS)에 작용(접촉)하여 탄성력에 의해 반도체 웨이퍼(W)를 보유지지하기 때문에, 반도체 웨이퍼(W)와 돌기부(54)와의 사이의 마찰 계수는 중요하지 않아, 돌기부(54)의 재질 및 형상을 자유롭게 선택할 수 있다.
이 실시예의 기판 보유지지구(50)에 있어서는, 각각의 잔디 형상 돌기부(54)가, 반도체 웨이퍼(W)의 아래에 숨은 경우는 세로의 방향으로 변형되어 동일 방향으로 반도체 웨이퍼(W)를 보유지지하고, 반도체 웨이퍼(W)의 외측으로 웨이퍼 측면에 접촉하는 경우는 그다지 변형되지 않고 가로 방향으로 반도체 웨이퍼(W)를 보유지지하도록 되어 있다. 이에 따라, 반도체 웨이퍼(W)의 재치 위치가 다소 어긋나도, 각각의 잔디 형상 돌기부(54)가 플렉시블하게 대응할 수 있다.
또한, 각 기판 보유지지구(50) 상에서 반도체 웨이퍼(W)의 주연부가 자체중량으로 적당한 깊이로 가라앉기 때문에, 반도체 웨이퍼(W)에 휨이 있어도, 휨이 없는 것과 동일하게 안정되고 확실히 보유지지된다.
또한, 반송 중에 반송 아암(12(14))의 픽부가 앞쪽으로 기울어도, 기판 보유지지구(50)에서 반도체 웨이퍼(W)를 확실히 안정되게 보유지지할 수 있다. 특히, 클러스터 툴의 처리 장치에 있어서는, 진공 반송 장치의 반송 아암이 길고, 프로세스?챔버로의 기판의 출납에 있어서 반송 아암이 신장되었을 때 자체중량에 의해 앞쪽으로 기울어지기 쉬워, 그에 따라 아암 상에서 기판이 어긋나기 쉬운 것이 종래의 문제가 되고 있었다. 그러나, 이 실시 형태에서는, 반송 아암(12(14)) 상의 반도체 웨이퍼(W)에 대하여 기판 보유지지구(50)가 가로 방향으로도 충분히 큰 보유지지력을 갖기 때문에, 반송 아암(12(14))이 앞쪽으로 기울어도 반도체 웨이퍼(W)의 위치 어긋남을 방지할 수 있다.
이와 같이, 반송 아암(12(14)) 상에서 반도체 웨이퍼(W)가 기울거나 위치 어긋남을 일으키는 일은 없다. 따라서, 반도체 웨이퍼(W)가 미끄러져 떨어질 우려가 없는 것은 물론, 반송 아암(12(14)) 상의 반도체 웨이퍼(W)의 위치를 광학 센서에 의해 검지하는 정밀도 및 신뢰성이 향상된다.
또한, 기판 보유지지구(50)는 반도체 웨이퍼(W)의 주연부만큼 밖에 접촉하지 않기 때문에, 프로세스?챔버(PC)에서 받은 프로세스에 따라 반도체 웨이퍼(W)의 이면 상태가 바뀌어도, 기판 보유지지구(50)의 웨이퍼 보유지지력은 그의 영향을 전혀 받지 않는다.
또한, 기판 보유지지구(50)는, 보유지지 패드로서 반송 아암(12(14))의 재치면의 임의의 개소에 착탈 가능 또는 바꾸는 것이 자유로우며, 간단하고 염가로 부착된다. 기판 반송 장치측에서는, 반송 아암(12(14))에 특별한 세공을 하지 않아도 되며, 기판 보유지지의 온?오프를 전환하기 위한 특별한 제어 장치도 일절 불필요하다.
[실시예 1의 변형예]
전술한 제1 실시예에 있어서, 기판 보유지지구(50) 상에서 돌기부(54)의 형상이나 굵기를 달리하는 것도 가능하다. 예를 들면, 기판 보유지지구(50) 상에서 반도체 웨이퍼(W)가 올려놓여지지 않는 외측의 돌기부(54)를 굵게(강하게) 구성함으로써, 반송 중의 반도체 웨이퍼(W)의 어긋남을 확실히 방지할 수 있다.
또한, 전술한 제1 실시예에서는 기판 보유지지구(50)의 돌기부(54)를 직모 타입의 인공 잔디를 닮은 형체로 했지만, 다른 인공 잔디를 닮은 형체로 하는 것도 가능하다. 혹은, 돌기부(54)를 소박편(小薄片) 형상으로 형성하는 것도 가능하며, 예를 들면 도 7에 나타내는 바와 같이 비늘 형상의 돌기부(56)로서 형성하는 구성을 적합하게 취할 수 있다.
도 7에 있어서, 이 비늘 형상 돌기부(56)는, 패드 본체(52)의 상면으로부터 비스듬히 상방으로 연장되고, 외력, 즉 반도체 웨이퍼(W)로부터의 중력 혹은 압압력에 따라서 탄성적으로 변위되도록 되어 있어, 반도체 웨이퍼(W)를 보유지지하는데 있어서 전술한 잔디 형상 돌기부(54)와 동일한 작용을 나타낼 수 있다.
즉, 반도체 웨이퍼(W)의 주연부를 보유지지할 때 있어서, 패드 본체(52) 상의 비늘 형상 돌기부(56)의 일부가 반도체 웨이퍼(W)의 아래에 숨고, 나머지는 반도체 웨이퍼(W)의 밖으로 노출된다. 그리고, 반도체 웨이퍼(W)의 아래에 숨은 돌기부(56)는, 반도체 웨이퍼(W)의 이면(WB)에 접촉하여 반도체 웨이퍼(W)를 수평 자세인 채 중력으로 적당하게 가라앉혀, 주로 세로의 방향으로 반도체 웨이퍼(W)를 보유지지한다. 또한, 반도체 웨이퍼(W)의 주연부의 근처에서 노출되어 있는 돌기부(56) 중 몇 개는 반도체 웨이퍼(W)의 측면(WS) 또는 주연 둥근 사면(WR)에 접촉하여, 큰 탄성률로 가로 방향으로 조금만 탄성 변위됨으로써, 반도체 웨이퍼(W)의 옆으로 어긋나는 것을 막아, 주로 가로의 방향으로 반도체 웨이퍼(W)를 보유지지한다. 비늘 형상 돌기부(56)의 사이즈, 높이, 배치 밀도, 탄성력, 반도체 웨이퍼(W)의 중량 등을 파라미터로 함으로써, 세로 방향 및 가로 방향의 각각에서 웨이퍼 보유지지력을 임의로 조정할 수 있다.
[기판 보유지지구에 관한 실시예 2]
다음으로, 도 8?도 12에 대해, 본 발명의 제2 실시예에 있어서의 기판 보유지지구(50)의 구성 및 작용을 설명한다.
이 제2 실시예에 있어서의 기판 보유지지구(50)는, 도 8?도 10에 나타내는 바와 같이, 반송 아암(12(14))의 재치면에, 예를 들면 볼트(58)(도 8)로 착탈 가능하게 고정되는 패드 본체(60)와, 이 패드 본체(60)의 상면에 일정한 밀도 또는 피치로 임립(林立)하여 설치되어 있는 복수(바람직하게는 다수)의 돌기부(62)로 이루어지며, 각각의 돌기부(62)가 금속제의 스프링 부재를 갖고 있는 구성을 특징으로 한다.
이 기판 보유지지구(50)의 돌기부(62)는, 스프링 부재로서, 예를 들면 벌류트(volute) 스프링을 갖고, 이 벌류트 스프링(64)의 상단부에 캡(66)을 일체로 씌우고 있다(도 9). 벌류트 스프링(64)은 반송 아암(12(14))의 재치면에 대하여 수직인 방향으로 연장되도록 패드 본체(60)의 상면에 설치되고, 벌류트 스프링(64)의 기단부는 패드 본체(60)에 묻혀 고정되어 있다(도 10).
패드 본체(60)는, 상기 제1 실시예의 패드 본체(52)와 동일한 형상을 갖고, 동일한 재질로 이루어지는 것이면 좋다. 캡(66)은, 벌류트 스프링(64)의 상단부뿐만 아니라 중간부도 덮는 긴 원통부를 갖는 것이 바람직하고, 소재로서, 예를 들면 테플론(등록 상표)이나 PEEK 등의 수지를 적합하게 이용할 수 있다. 벌류트 스프링(64)은, 전체 길이 1㎝ 이하의 소형 사이즈인 것을 사용해도 좋고, 시판품 또는 특주품 중 어느 것이라도 좋다.
이 실시 형태의 기판 보유지지구(50)에 있어서는, 반송 아암(12(14)) 상에서 반도체 웨이퍼(W)가 그의 주연부에서 당해 기판 보유지지구(50)의 위에 올려지면, 도 11 또는 도 12에 나타내는 바와 같이, 스프링 부착 돌기부(62)의 위에서 반도체 웨이퍼(W)가 적당한 깊이로 가라앉도록 되어 있다. 이 가라앉음 양(D)은, 반도체 웨이퍼(W)의 두께(T)보다는 작고, 그의 반정도(D=0.4?0.6T)가 가장 바람직하다.
여기에서, 패드 본체(60) 상의 스프링 부착 돌기부(62) 중, 반도체 웨이퍼(W)의 아래에 숨은 돌기부(62)는, 캡(66)의 정부에서 반도체 웨이퍼(W)의 이면(WB)에 접촉하고, 벌류트 스프링(64)이 축방향으로 압축 변형되어 반도체 웨이퍼(W)로부터의 세로 방향의 힘(중력)에 저항하여, 주로 세로 방향, 즉 반도체 웨이퍼(W)의 판면(또는 반송 아암(12, 14)의 재치면)과 수직인 방향으로 반도체 웨이퍼(W)를 보유지지한다.
또한, 패드 본체(60) 상의 스프링 부착 돌기부(62) 중, 반도체 웨이퍼(W)의 주연부의 근방에서 노출되어 있는 돌기부(62) 중 몇 개는, 캡(66)의 정부보다도 낮은 부위에서 반도체 웨이퍼(W)의 측면(WS) 또는 주연 둥근 사면(WR)에 접촉하고, 반도체 웨이퍼(W)로부터의 옆으로 향하는 힘(압압력)에 저항하여, 주로 반도체 웨이퍼(W)의 판면과 평행한 방향으로 반도체 웨이퍼(W)를 보유지지한다.
이 경우, 캡(66)의 원통 형상 몸체부에서 반도체 웨이퍼(W)의 측면(WS)과 접촉하는 스프링 부착 돌기부(62)는, 축방향의 하중을 거의 받지 않기 때문에, 벌류트 스프링(64)은 거의(혹은 조금밖에) 압축 변형되지 않는다(도 11). 한편, 캡(66)의 머리 부분이 반도체 웨이퍼(W)의 주연 둥근 사면(WR)과 접촉하는 스프링 부착 돌기부(62)는, 축방향으로 하중을 받기 때문에, 벌류트 스프링(64)이 약간 압축 변형된다(도 12).
이와 같이, 이 제2 실시예에 있어서의 기판 보유지지구(50)는, 반송 아암(12(14))의 재치면에 고정되는 패드 본체(60)와, 이 패드 본체(60)의 상면에 임립하여 설치되어 있는 다수의 스프링 부착 돌기부(62)를 갖고, 반도체 웨이퍼(W)의 주연부를 보유지지할 때 있어서, 패드 본체(60) 상의 스프링 부착 돌기부(62)의 일부가 반도체 웨이퍼(W)의 아래에 숨고, 나머지는 반도체 웨이퍼(W)의 밖으로 노출된다. 그리고, 반도체 웨이퍼(W)의 아래에 숨은 스프링 부착 돌기부(62)는, 반도체 웨이퍼(W)의 이면(WB)에 접촉하고, 벌류트 스프링(64)이 축방향으로 압축 변형되어, 반도체 웨이퍼(W)를 중력으로 적당한 깊이로 가라앉혀, 주로 세로의 방향으로 반도체 웨이퍼(W)를 보유지지한다. 또한, 반도체 웨이퍼(W)의 주연부의 근처에서 노출되어 있는 스프링 부착 돌기부(62) 중 몇 개는 반도체 웨이퍼(W)의 측면(WS) 또는 주연 둥근 사면(WR)에 접촉하고, 벌류트 스프링(64)이 축방향으로는 거의 또는 조금 밖에 압축 변형되지 않아, 주로 가로의 방향으로 반도체 웨이퍼(W)를 보유지지한다. 스프링 부착 돌기부(62)의 직경, 높이, 캡 형상, 배치 밀도, 스프링 계수, 반도체 웨이퍼(W)의 중량 등을 파라미터로 함으로써, 세로 방향 및 가로 방향의 각각에서 웨이퍼 보유지지력을 임의로 조정할 수 있다.
예를 들면, 반도체 웨이퍼(W)가 300㎜ 구경의 것인 경우, 그의 중량은 130g이다. 진공 반송 로봇(16)에 있어서, 반송 아암(12(14))의 이동 속도가 0.5m/sec이고, 1초에서 정지한다고 가정하면, 정지시의 가속도는 0.5m/sec2이고, 반도체 웨이퍼(W)에 작용하는 가로 방향의 힘은 130g×0.5m/sec2=65gm/sec2이 된다. 반도체 웨이퍼(W)에 접촉하는 돌기부(62) 중에서 이 가로 방향의 힘에 저항하는 돌기부(62)의 개수(도 2의 예에서는 아암 전부(前部)의 2개의 기판 보유지지구(50)에 있어서 가로 방향의 힘에 저항하는 돌기부(62)의 개수)를, 예를 들면 20개로 하면, 1개당의 부하는 약 3gm/sec2이다. 따라서, 가로 방향으로는, 약 3gm/sec2의 부하에 견딜 수 있도록 돌기부(62)의 강도를 설계하면 좋다.
세로 방향에서는, 반도체 웨이퍼(W)가 가라앉는 양은, 그의 두께(예를 들면 0.8㎜) 이하로 하는 것이 좋다. 이 경우, 반도체 웨이퍼(W)의 중량을 받는 돌기부(62)의 개수(도 2의 예에서는 아암 전부 및 후부의 4개의 기판 보유지지구(50)에 있어서 반도체 웨이퍼(W)의 중량을 받는 돌기부(62)의 개수)를 240개로 하면, 1개당의 부하는 130g/240개=약 0.5g/개가 된다. 따라서, 세로 방향으로는, 약 0.5g의 부하에 대하여 0.8㎜ 이하의 가라앉음 양으로 확실히 하방에 변형 또는 변위되도록 돌기부(62)의 탄성 특성을 설계하면 좋다.
상기와 같은 돌기부(62)에 있어서의 가로 방향의 강도 및 세로 방향의 탄성 특성은, 상기 제1 실시 형태의 돌기부(54)에 있어서도 동일하게 해당한다.
이 제2 실시예의 기판 보유지지구(50)도, 상기 제1 실시예의 기판 보유지지구와 동일한 효과를 나타내는 것이 가능하고, 반송 아암(12(14))에 특별한 세공을 필요로 하는 일 없이 착탈 가능하게 간단하고 염가로 부착 가능하며, 반도체 웨이퍼(W)의 이면 상태나 휨에도 영향받지 않고, 반송 아암(12(14))의 재치면 상에서 반도체 웨이퍼(W)의 재치 위치가 다소 어긋나도 기판을 올바른 자세로 안정되게 보유지지할 수 있다.
더하여, 제2 실시예의 기판 보유지지구(50)는, 돌기부(62)의 탄성 변위가 금속제의 스프링에 의해 행해지기 때문에, 기판 보유지지의 재현성, 안정성 및, 내구성에 있어서 큰 이점을 갖는다.
또한, 제2 실시예의 기판 보유지지구(50)를 금속이나 세라믹으로 형성한 경우에는, 기판의 보유지지력을 유지하면서, 내열성이 높다는 이점을 갖는다. 또한, 금속 오염을 고려한 경우에는, 반도체 웨이퍼보다 부드러운 소재인 것이 바람직하며, 예를 들면, 내열성 플라스틱을 들 수 있다.
또한, 반도체 웨이퍼(W)의 전하를 제거할 수 있도록, 기판 보유지지구(50)의 돌기부(62)를 접지해도 좋다. 이 구성에 의하면, 플라즈마 처리 후의 대전된 반도체 웨이퍼(W)와 처리 장치 내의 부재 등의 사이에서 스파크가 일어날 우려를 없앨 수 있다.
[실시예 2의 변형예 1]
상기 제2 실시예의 기판 보유지지구(50)에 있어서, 돌기부(62)의 스프링은, 벌류트 스프링으로는 한정되지 않으며, 예를 들면 도 13에 나타내는 바와 같은 압축 코일 스프링(68)도 동일하게 사용할 수 있다.
이 압축 코일 스프링(68)은, 패드 본체(60)의 상면에 세로 방향으로 형성된 카운터보어 홀(70) 중에 수용되고, 반도체 웨이퍼(W)로부터 받는 하중 또는 압압력에 대하여 세로 방향, 즉 반송 아암(12(14))의 재치면에 대하여 수직인 방향으로 탄성 변형되도록 되어 있다. 압축 코일 스프링(68)의 하단은 카운터보어 홀(70)의 바닥에 고정되고, 압축 코일 스프링(68)의 상단부에 원기둥 형상의 캡(66)이 씌워진다. 카운터보어 홀(70)의 내벽은, 캡(66)을 세로 방향으로 안내하기 위한 통 형상 안내부와, 캡(66)의 어깨부(66a)(나아가서는 정부)의 상한 위치를 규정하기 위한 스토퍼를 구성하고 있다.
[실시예 2의 변형예 2]
다음으로, 도 14?도 16에 대해, 스프링 부착 돌기부(62)를 구비하는 제2 실시예에 있어서의 제2 변형예를 설명한다. 도 14에 이 제2 변형예에 있어서의 기판 보유지지구(50)의 외관 구성을 나타내고, 도 15에 그의 확대도를 나타낸다.
이 제2 변형예에 있어서의 기판 보유지지구(50)도, 전술한 제1 변형예(도 13)와 동일하게, 반송 아암(12(14))의 상면에, 예를 들면 볼트(58)로 착탈 가능하게 고정되는 패드 본체(60)와, 이 패드 본체(60) 상면의 카운터보어 홀(70)에 수용되는 탄성 변위 가능한 복수(바람직하게는 다수)의 돌기부(62)를 구비하고, 각각의 돌기부(62)가 스프링 부재를 갖고 있다. 상기 제1 변형예와 상이한 주된 점은, 돌기부(62)의 개수를 큰 폭으로 줄이고 있는 점과, 돌기부(62)의 배치 패턴에 골똘히 궁리하고 있는 점이다.
보다 상세하게는, 도 15에 나타내는 바와 같이, 패드 본체(60)의 상면에 설치하는 돌기부(62)의 총수를, 예를 들면 수 10개 이하로 줄이고, 배치 밀도를 낮게 함으로써, 반도체 웨이퍼(W)의 중량을 받는 돌기부(62)의 스프링력을 줄인다. 이에 따라, 반도체 웨이퍼(W)를 보다 확실히 가라앉하는 것이 가능해진다. 또한, 도 16에 나타내는 바와 같이, 예를 들면 반도체 웨이퍼(W)의 두께(T)와 동등한 가라앉음 양(D)을 실현하도록 구성해도 좋다. 가라앉음 양(D)을 이 정도로 크게 함으로써, 반도체 웨이퍼(W)에 휨이나 가라앉음 부족이 있어도, 충분히 안정된 웨이퍼 보유지지력을 얻을 수 있다.
또한, 도 15에 나타내는 바와 같이, 아암 전체의 재치면의 중심점(또는 기준 웨이퍼 재치 위치의 중심점)(O)과 패드 본체(60)의 중심을 통과하는 직선(N)에 대하여 선대칭인 정점이 중심점(O)을 향하는 V자의 라인 상에 돌기부(62)를 일정한 간격으로 복수 배치하고, 또한 직선(N)을 따라서 V 패턴을 복수열(도시의 예는 2열) 설치하고 있다. 이러한 돌기부 배치 패턴에 의하면, 반도체 웨이퍼(W)의 위치 어긋남에 대하여 일정 피치(p)(예를 들면 p=0.2㎜)마다 직선(N) 상의 1개의 돌기부(62) 또는 직선(N)의 양측의 한 쌍의 돌기부(62, 62)에 의해 반도체 웨이퍼(W)의 측면을 효율 좋고 안정되게 보유지지하고, 또한 반도체 웨이퍼(W)의 밑받침이 되는 모든 돌기부(62)를 확실히 충분한 깊이까지 가라앉힐 수 있다.
이 실시예에서는, 그 외에도 여러 가지 특징점이 있다. 예를 들면, 도 16에 나타내는 바와 같이, 반송 아암(12(14))의 상면에 형성한 오목부(72) 중에 패드 본체(60)를 부착하고 있고, 이에 따라 기판 보유지지구(50)를 구비하는 반송 아암(12(14))의 박형화를 실현하고 있다.
패드 본체(60)를 반송 아암(12(14))과 일체로 만드는 것도 가능하다. 그에 따라, 부품 개수를 줄여, 반송 아암(12(14))의 세정 작업을 용이하게 행할 수 있다.
또한, 기판 보유지지구(50) 상에서 반도체 웨이퍼(W)의 이면에 대미지를 주지 않도록, 도 16에 나타내는 바와 같이 돌기부(62)의 캡(66)의 정부에 모따기 가공 또는 R 가공(66b)을 행하는 구성을 적합하게 취할 수 있다. 혹은, 도시를 생략하지만, 캡(66)의 정부에 강체(剛體)의 볼을 그의 상부만이 노출되도록 회전 가능하게 묻는 구성(볼 조인트)을 채용하는 것도 가능하다.
또한, 도 16에 나타내는 바와 같이, 반도체 웨이퍼(W)를 올리고 가라앉는 돌기부(62)는, 패드 본체(60) 중에 완전히 가라앉지 않도록 하는(즉, 캡(66)의 정부가 조금만 위로 나오도록 함) 것이 바람직하다. 그리고, 캡(66)이 가로 방향으로 변위될 수 있도록, 패드 본체(60)의 카운터보어 홀(70) 내의 사이드?클리어런스를 적당히 크게 한다. 이에 따라, 반도체 웨이퍼(W)의 움직임에 맞춰, 이것을 담지하는 돌기부(62)도 함께 옆으로 움직임으로써, 반도체 웨이퍼(W)에 대한 가로 방향의 보유지지력을 한층 향상시킬 수 있다.
기판 보유지지구(50)의 재질에 관해서는, 내구성의 면에서는 금속이 바람직하고, 내약품성의 면에서는 수지(특히, 테플론(등록 상표), PEEK(상표명))가 바람직하고, 내열성의 면에서는 세라믹(탄화 규소, 알루미나), 석영, 폴리이미드, 카본 등이 바람직하다. 특히, 세라믹이나 카본의 경우는, 세라믹 스프링이나 카본 스프링을 이용함으로써, 기판 보유지지구(50)의 모든 부품 또는 부재를 세라믹제 혹은 카본제로 할 수 있다.
[다른 실시 형태 또는 변형예]
이상 본 발명의 적합한 실시 형태에 대해서 설명했지만, 본 발명은 상기한 실시 형태에 한정되지 않고, 그의 기술적 사상의 범위 내에서 다른 실시 형태 또는 여러 가지의 변형?변경이 가능하다.
예를 들면, 상기 실시 형태의 클러스터 툴 방식의 진공 처리 장치(도 1)에 있어서는, 로더 반송실(LM) 내에 설치되는 매엽식의 대기 반송 로봇(기판 반송 장치)(20)의 반송 아암(22, 24)에 상기 실시 형태의 기판 보유지지구(50)를 적용해도 좋다.
또한, 본 발명에 있어서의 피처리 기판으로서는, 반도체 웨이퍼에 한하지 않고, FPD(특히 유기 EL, 액정 패널)용의 각종 기판, 포토마스크, 프린트 기판 등도 포함된다. 따라서, 예를 들면 도 17에 나타내는 바와 같이, FPD용의 기판 반송 장치의 반송 아암(74)에 상기 실시 형태의 기판 보유지지구(50)를 부착할 수 있다.
도 17의 반송 아암(74)은, 아암 본체(76)로부터 전방으로 평행하게 연장되는 한 쌍의 외측 지지부(78) 및 한 쌍의 내측 지지부(80)를 갖고 있다. 여기에서, 비교적 짧은 외측 지지부(78)의 선단에는, FPD용의 직사각형의 기판(G)의 좌우 양측의 주연부를 보유지지하기 위해 내측으로 돌출되는 클로부(78a)가 형성되어 있고, 이 클로부(78a)의 상면에 기판 보유지지구(50)가 부착된다. 또한, 비교적 긴 내측 지지부(80)의 선단부(80a)는, 직사각형 기판(G)의 전부의 주연부보다 조금 비어져 나오도록 되어 있어, 이 선단부(80a)에도 기판 보유지지구(50)가 부착된다. 또한, 내측 지지부(80)의 기단부(80b)가 직사각형 기판(G)의 후부의 주연부보다 조금 비어져 나오도록 되어 있어, 이 기단 단부(80b)에도 기판 보유지지구(50)가 부착된다. 또한, 아암 본체(76)의 여기 저기에 형성되어 있는 직사각형 또는 원형의 개구(82)는, 경량화를 위한 구멍이다.
이와 같이, 반송 아암(74)에 기판 보유지지구(50)를 부착함으로써, FPD용의 기판 반송 장치는, 반송 아암(74)으로 직사각형 기판(G)을 보유지지하여 반송할 때의 아암 자세, 아암 이동 궤적, 반송 속도를 기판 보유지지부의 제한을 받는 일 없이 임의로 그리고 자유롭게 선택하는 것이 가능하여, 반송 능력을 향상시킬 수 있다. 그리고, 기판 반송 장치의 반송 능력이 향상됨으로써, FPD용의 기판 처리 장치 또는 멀티 매엽식 인라인 처리 시스템 스루풋이 향상된다.
또한, 본 발명의 기판 반송 장치에 있어서는, 반송 아암에 부착하는 기판 보유지지구가 모두 본 발명의 기판 보유지지구일 필요는 없고, 종래의 기판 보유지지구와 본 발명의 기판 보유지지구를 병용해도 좋다. 따라서, 예를 들면 도 2의 반송 아암(12(14)) 또는 도 17의 반송 아암(74)에 있어서, 앞쪽으로 기울기 쉬운 아암 선단부에 부착하는 기판 보유지지구만을 본 발명의 기판 보유지지구로 하고, 다른 기판 보유지지구에 종래의, 예를 들면 테이퍼 패드 방식의 기판 보유지지구를 이용하는 것도 가능하다.
또한, 본 발명의 기판 보유지지구는, 기판 반송 장치의 반송 아암에 한하지 않고, 기판 또는 판 형상체를 보유지지하여 반송 또는 이동하는 임의의 반송체 또는 이동체에 적용 가능하다. 그 경우, 반송체 또는 이동체 상에서 본 발명의 기판 보유지지구에 의해 보유지지되는 기판 또는 판 형상체는 반드시 수평 자세나 위를 향한 자세로 한정되지 않고, 주면 또는 피처리면을 아래로 향한 자세나, 비스듬하게 크게 기운 자세를 취하는 것도 가능하며, 극단적으로는 수직 자세를 취하는 것도 가능하다
12, 14 : 반송 아암
16 : 진공 반송 로봇
20 : 대기 반송 로봇
50 : 기판 보유지지구
52 : 패드 본체
54 : 돌기부
56 : 돌기부
62 : 돌기부

Claims (31)

  1. 피(被)처리 기판을 반송하는 반송체의 재치면에 부착되고, 상기 기판을 그의 기판 주연부에 접촉하여 보유지지(holding)하는 기판 보유지지구로서,
    상기 반송체의 재치면에 고정되는 판 형상 또는 블록 형상의 패드 본체와,
    상기 패드 본체로부터 연장되는 탄성적으로 변형 가능한 복수의 돌기부를 갖고,
    상기 복수의 돌기부의 일부가 상기 기판의 이면을 보유지지하고,
    상기 복수의 돌기부의 다른 일부가 상기 기판의 측면을 보유지지하는 기판 보유지지구.
  2. 피처리 기판을 위를 향하게 올려 반송하는 반송체의 재치면에 부착되고, 상기 기판을 그의 기판 주연부에 접촉하여 보유지지하는 기판 보유지지구로서,
    상기 반송체의 재치면에 고정되는 판 형상 또는 블록 형상의 패드 본체와,
    상기 패드 본체의 상면으로부터 상방으로 연장되는 탄성적으로 변형 가능한 복수의 돌기부를 갖고,
    상기 복수의 돌기부의 일부가, 상기 기판의 이면에 접촉하여 주로 상기 기판의 판면과 수직인 방향으로 상기 기판을 보유지지하고,
    상기 복수의 돌기부의 다른 일부가, 상기 기판의 측면에 접촉하여 주로 상기 기판의 판면과 평행한 방향으로 상기 기판을 보유지지하는 기판 보유지지구.
  3. 제1항 또는 제2항에 있어서,
    상기 돌기부에 스프링 부재를 갖는 기판 보유지지구.
  4. 제3항에 있어서,
    상기 스프링 부재는, 상기 반송체의 재치면에 대하여 수직인 방향으로 탄성적으로 변형 가능하게 부착되는 기판 보유지지구.
  5. 제3항에 있어서,
    상기 스프링 부재 중 적어도 기단부(基端部)는, 상기 패드 본체 중에 묻혀져 있는 기판 보유지지구.
  6. 제3항에 있어서,
    상기 돌기부가, 상기 스프링 부재의 상단부를 덮는 캡부를 갖는 기판 보유지지구.
  7. 제6항에 있어서,
    상기 캡부는, 상기 스프링 부재의 중간부를 덮는 통부를 갖는 기판 보유지지구.
  8. 제6항에 있어서,
    상기 캡부는 수지로 이루어지는 기판 보유지지구.
  9. 제7항에 있어서, 
    상기 캡부는, 도전체로 이루어지고, 전기적으로 접지되는 기판 보유지지구.
  10. 제6항에 있어서,
    상기 패드 본체에, 상기 캡부를 상기 반송체의 재치면에 대하여 수직인 방향으로 안내하기 위한 통 형상 안내부가 설치되어 있는 기판 보유지지구.
  11. 제6항에 있어서,
    상기 캡부는, 상기 반송체의 재치면에 대하여 평행한 방향으로 변위 가능하게 설치되어 있는 기판 보유지지구.
  12. 제1항 또는 제2항에 있어서,
    상기 패드 본체가, 상기 반송체의 재치면에 형성되어 있는 오목부의 안에 부착되는 기판 보유지지구.
  13. 제1항 또는 제2항에 있어서,
    상기 패드 본체가, 상기 반송체에 일체 형성되어 있는 기판 보유지지구.
  14. 제1항 또는 제2항에 있어서,
    상기 패드 본체 상에서, 정점(頂点)이 상기 반송체 전체의 재치면 중심점을 향하는 V자의 라인 상에 상기 돌기부를 일정한 간격으로 복수 배치하는 기판 보유지지구.
  15. 제1항 또는 제2항에 있어서,
    상기 돌기부가 잔디 형상 또는 소박편(小薄片) 형상으로 형성되어 있는 기판 보유지지구.
  16. 제15항에 있어서,
    상기 돌기부가 비늘 형상으로 형성되어 있는 기판 보유지지구.
  17. 제15항에 있어서,
    상기 돌기부의 선단부(先端部)는, 상기 반송체의 재치면과 직교하는 방향에 대하여 비스듬하게 기울어져 있는 기판 보유지지구.
  18. 제15항에 있어서,
    상기 돌기부는, 그의 선단부가 상기 반송체에 올려놓여지는 상기 기판의 윤곽의 내측을 향하도록 형성되어 있는 기판 보유지지구.
  19. 제15항에 있어서,
    상기 돌기부의 탄성은, 상기 기판으로부터 받는 힘에 대하여, 상기 기판의 판면과 수직인 방향에 있어서의 탄성률보다도 상기 기판의 판면과 평행한 방향에 있어서의 탄성률 쪽이 큰 기판 보유지지구.
  20. 제15항에 있어서,
    상기 돌기부의 기부(基部)가 상기 패드 본체에 묻혀져 있는 기판 보유지지구.
  21. 제15항에 있어서,
    상기 돌기부가, 상기 패드 본체와 일체 성형으로 형성되어 있는 기판 보유지지구.
  22. 제15항에 있어서,
    상기 돌기부가 고무 형상 탄성체로 이루어지는 기판 보유지지구.
  23. 제22항에 있어서,
    상기 돌기부가 불소 고무로 이루어지는 기판 보유지지구.
  24. 제15항에 있어서,
    상기 돌기부가 수지로 이루어지는 기판 보유지지구.
  25. 제1항 또는 제2항에 있어서,
    상기 기판의 이면과 접촉하는 상기 돌기부의 탄성 변위에 의해 상기 기판이 가라앉는 깊이는 상기 기판의 두께보다도 작은 기판 보유지지구.
  26. 제1항 또는 제2항에 있어서,
    상기 패드 본체는, 상기 기판의 주연부를 보유지지할 때에, 상기 복수의 돌기부의 일부가 상기 기판의 아래에 숨고, 상기 복수의 돌기부의 나머지는 상기 기판의 밖으로 노출되도록, 상기 반송체의 소정의 개소에 배치되는 기판 보유지지구.
  27. 피처리 기판을 반송하기 위한 기판 반송 장치로서,
    대기압하 또는 감압하의 실내에서 이동할 수 있도록 구성된 반송 베이스부와,
    상기 반송 베이스부에 탑재되고, 상기 기판을 올려 지지할 수 있도록 구성된 반송 아암과,
    상기 반송 베이스부 상에서 상기 반송 아암을 소정의 방향으로 이동시키기 위한 아암 구동부와,
    상기 기판을 보유지지하기 위해 상기 반송 아암에 부착되고, 제1항 또는 제2항에 기재된 기판 보유지지구를 포함하는 기판 보유지지부를 갖는 기판 반송 장치.
  28. 제27항에 있어서,
    상기 반송 아암은, 수평면 내에서 선회 운동할 수 있음과 함께, 선회원의 반경과 평행한 방향에서 진퇴 이동할 수 있도록 구성되어 있는 기판 반송 장치.
  29. 제27항에 있어서,
    상기 기판 보유지지구는, 상기 반송 아암에 착탈 가능하게 부착되는 기판 반송 장치.
  30. 대기압하 또는 감압하에서 피처리 기판에 소정의 처리를 행하기 위한 매엽식의 처리 유닛과,
    제1항 또는 제2항에 기재된 기판 보유지지구를 부착한 반송 아암을 갖고, 상기 반송 아암에 상기 기판을 올려, 상기 기판을 반송하여, 상기 처리 유닛에 상기 기판을 반입하거나, 또는 상기 처리 유닛으로부터 상기 기판을 반출하는 기판 반송 기구를 갖는 기판 처리 장치.
  31. 제30항에 있어서,
    상기 기판 보유지지구는, 상기 반송 아암에 착탈 가능하게 부착되는 기판 처리 장치.
KR1020127020462A 2010-02-05 2011-02-02 기판 보유지지구 및 기판 반송 장치 및 기판 처리 장치 KR101259862B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2010-024283 2010-02-05
JP2010024283 2010-02-05
PCT/JP2011/000576 WO2011096208A1 (ja) 2010-02-05 2011-02-02 基板保持具及び基板搬送装置及び基板処理装置

Publications (2)

Publication Number Publication Date
KR20120096599A true KR20120096599A (ko) 2012-08-30
KR101259862B1 KR101259862B1 (ko) 2013-05-02

Family

ID=44355223

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127020462A KR101259862B1 (ko) 2010-02-05 2011-02-02 기판 보유지지구 및 기판 반송 장치 및 기판 처리 장치

Country Status (6)

Country Link
US (2) US20120315113A1 (ko)
JP (1) JP5258981B2 (ko)
KR (1) KR101259862B1 (ko)
CN (1) CN102741995A (ko)
TW (1) TWI412101B (ko)
WO (1) WO2011096208A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170130285A (ko) * 2016-05-18 2017-11-28 캐논 톡키 가부시키가이샤 기판 반송 장치

Families Citing this family (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120306139A1 (en) * 2011-06-03 2012-12-06 Arthur Keigler Parallel single substrate processing system holder
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN106373911B (zh) * 2011-09-22 2019-04-09 东京毅力科创株式会社 基板处理装置及基板处理方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN104271474B (zh) 2011-12-16 2018-01-09 布鲁克斯自动化公司 输送设备
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014093420A (ja) * 2012-11-02 2014-05-19 Toyota Motor Corp ウェハを支持ディスクに接着する治具、および、それを用いた半導体装置の製造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9004564B2 (en) * 2013-03-13 2015-04-14 Varian Semiconductor Equipment Associates, Inc. Wafer handling apparatus
US10297481B2 (en) * 2013-03-21 2019-05-21 Tokyo Electron Limited Magnetic annealing apparatus
US8864202B1 (en) * 2013-04-12 2014-10-21 Varian Semiconductor Equipment Associates, Inc. Spring retained end effector contact pad
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10312127B2 (en) * 2013-09-16 2019-06-04 Applied Materials, Inc. Compliant robot blade for defect reduction
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP5802735B2 (ja) * 2013-12-27 2015-11-04 ファナック株式会社 退避装置を備えた対象物搬送システム
KR101575129B1 (ko) * 2014-01-13 2015-12-08 피에스케이 주식회사 기판 이송 장치 및 방법, 그리고 기판 처리 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
WO2016017485A1 (ja) * 2014-07-29 2016-02-04 シャープ株式会社 基板処理装置
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6435795B2 (ja) * 2014-11-12 2018-12-12 株式会社ダイフク 物品搬送設備
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6456712B2 (ja) * 2015-02-16 2019-01-23 東京エレクトロン株式会社 基板保持機構及びこれを用いた基板処理装置
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
JP2017035743A (ja) * 2015-08-07 2017-02-16 日東電工株式会社 搬送装置
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
JP6777985B2 (ja) * 2015-11-19 2020-10-28 株式会社荏原製作所 基板保持装置
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN106856186B (zh) * 2015-12-08 2020-02-18 上海微电子装备(集团)股份有限公司 一种硅片交接精度控制装置、硅片吸附台、硅片传输系统及硅片交接方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6357187B2 (ja) * 2016-03-31 2018-07-11 キヤノン株式会社 搬送装置、リソグラフィ装置、および物品の製造方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
EP3482259B1 (en) 2016-07-06 2024-01-10 ASML Netherlands B.V. A substrate holder and a method of manufacturing a substrate holder
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6774714B2 (ja) * 2016-07-25 2020-10-28 株式会社アドテックエンジニアリング ワークステージ及び露光装置
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6700149B2 (ja) 2016-09-29 2020-05-27 株式会社Screenホールディングス 姿勢変更装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9975255B1 (en) * 2016-12-15 2018-05-22 Jabil Inc. Apparatus, system and method for providing a conformable vacuum cup for an end effector
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10600668B2 (en) * 2017-03-30 2020-03-24 Sharp Kabushiki Kaisha Adsorption device, conveyance device, and EL device manufacturing device
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10399231B2 (en) 2017-05-22 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate handling contacts and methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
CN108983552B (zh) * 2017-05-31 2020-01-24 上海微电子装备(集团)股份有限公司 一种移入移出机构及光刻机工件台移入移出装置
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
DE102018004436A1 (de) * 2017-06-06 2018-12-06 Solaytec B.V. Wafergreifer-einheit, system und verwendung davon
KR102206687B1 (ko) * 2017-06-26 2021-01-22 니뽄 도쿠슈 도교 가부시키가이샤 기판 유지 부재
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
KR102492533B1 (ko) 2017-09-21 2023-01-30 삼성전자주식회사 지지 기판, 이를 이용한 반도체 패키지의 제조방법 및 이를 이용한 전자 장치의 제조 방법
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN109786312A (zh) * 2017-11-15 2019-05-21 福建钧石能源有限公司 一种太阳能电池载板
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP6353969B1 (ja) * 2017-11-29 2018-07-04 株式会社ユー・エム・アイ 搬送具と搬送方法と搬送具ユニット
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN108706342A (zh) * 2018-07-30 2018-10-26 安徽旭能电力股份有限公司 一种可调式太阳能玻璃的防护输送设备
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
JP7131334B2 (ja) * 2018-11-29 2022-09-06 株式会社安川電機 基板支持装置、基板搬送ロボットおよびアライナ装置
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109625970B (zh) * 2019-01-23 2020-10-30 深圳市华星光电技术有限公司 基板搬运机械手
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11600580B2 (en) * 2019-02-27 2023-03-07 Applied Materials, Inc. Replaceable end effector contact pads, end effectors, and maintenance methods
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN110112588A (zh) * 2019-06-19 2019-08-09 广东电网有限责任公司 一种接地线五防系统
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102301114B1 (ko) * 2019-09-06 2021-09-10 주식회사 글린트머티리얼즈 이중 구조의 미끄럼 방지 패드 및 이를 구비한 웨이퍼 이송 로봇
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
JP7256728B2 (ja) * 2019-10-04 2023-04-12 株式会社荏原製作所 基板ホルダ及び基板処理装置
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
CN112682465A (zh) * 2019-10-17 2021-04-20 夏泰鑫半导体(青岛)有限公司 承载装置及半导体制造装置的加载部件
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CN111334782B (zh) * 2020-02-28 2022-05-27 北京北方华创微电子装备有限公司 半导体设备及其电极装置
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
KR20220027475A (ko) * 2020-08-27 2022-03-08 주식회사 글린트머티리얼즈 관통홀을 포함한 반도체 웨이퍼 이송용 로봇암 블레이드 및 이에 장착되는 미끄럼 방지 패드
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117721429B (zh) * 2024-02-08 2024-04-23 成都国泰真空设备有限公司 磁控溅射镀膜设备

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3097720B2 (ja) * 1993-03-29 2000-10-10 大日本スクリーン製造株式会社 基板支持アーム
US5626675A (en) * 1993-11-18 1997-05-06 Tokyo Electron Limited Resist processing apparatus, substrate processing apparatus and method of transferring a processed article
JP3437559B2 (ja) 1993-12-24 2003-08-18 東京エレクトロン株式会社 処理装置
US5722646A (en) * 1995-08-29 1998-03-03 Cna Manufacturing Systems, Inc. Flexible tooling apparatus
US5984293A (en) * 1997-06-25 1999-11-16 Mcms, Inc. Apparatus for holding printed circuit board assemblies in manufacturing processes
JP2000003951A (ja) 1998-06-16 2000-01-07 Tokyo Electron Ltd 搬送装置
US6726195B1 (en) * 1998-10-13 2004-04-27 Dek International Gmbh Method for ensuring planarity when using a flexible, self conforming, workpiece support system
US6056026A (en) * 1998-12-01 2000-05-02 Asyst Technologies, Inc. Passively activated valve for carrier purging
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20020071756A1 (en) * 2000-12-13 2002-06-13 Gonzalez Jose R. Dual wafer edge gripping end effector and method therefor
US6497403B2 (en) * 2000-12-28 2002-12-24 Memc Electronic Materials, Inc. Semiconductor wafer holder
JP2003077980A (ja) * 2001-09-06 2003-03-14 Yaskawa Electric Corp ウェハ搬送用ハンド
JP4038653B2 (ja) * 2001-12-03 2008-01-30 株式会社安川電機 ウェハ搬送フォーク
US6824343B2 (en) * 2002-02-22 2004-11-30 Applied Materials, Inc. Substrate support
JP2005126814A (ja) * 2003-09-30 2005-05-19 Seiko Epson Corp 表面処理方法
US7490878B1 (en) * 2003-12-29 2009-02-17 Storage Technology Corporation ESD safe vacuum wand tip
DE102004029359B4 (de) * 2004-06-17 2006-08-03 Siemens Ag Vorrichtung zum Lagern eines Gegenstandes
JP4841183B2 (ja) * 2005-06-28 2011-12-21 東京エレクトロン株式会社 基板処理装置,搬送装置,搬送装置の制御方法
US7286890B2 (en) * 2005-06-28 2007-10-23 Tokyo Electron Limited Transfer apparatus for target object
JP4954728B2 (ja) * 2007-01-26 2012-06-20 東京エレクトロン株式会社 ゲートバルブの洗浄方法及び基板処理システム
JP4740414B2 (ja) * 2007-04-24 2011-08-03 東京エレクトロン株式会社 基板搬送装置
JP4922915B2 (ja) * 2007-12-28 2012-04-25 大日本スクリーン製造株式会社 基板処理装置および基板の芯合わせ方法
KR101208644B1 (ko) * 2009-07-03 2012-12-06 도쿄엘렉트론가부시키가이샤 위치 이탈 방지 장치, 이를 구비한 기판 보지구, 기판 반송 장치 및 기판 반송 방법
JP5357694B2 (ja) * 2009-07-03 2013-12-04 東京エレクトロン株式会社 位置ずれ防止装置、これを備えた基板保持具、基板搬送装置および基板搬送方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170130285A (ko) * 2016-05-18 2017-11-28 캐논 톡키 가부시키가이샤 기판 반송 장치

Also Published As

Publication number Publication date
US9406539B2 (en) 2016-08-02
WO2011096208A1 (ja) 2011-08-11
TW201227868A (en) 2012-07-01
TWI412101B (zh) 2013-10-11
KR101259862B1 (ko) 2013-05-02
JPWO2011096208A1 (ja) 2013-06-10
US20120315113A1 (en) 2012-12-13
JP5258981B2 (ja) 2013-08-07
US20140093336A1 (en) 2014-04-03
CN102741995A (zh) 2012-10-17

Similar Documents

Publication Publication Date Title
KR101259862B1 (ko) 기판 보유지지구 및 기판 반송 장치 및 기판 처리 장치
US10556351B2 (en) Transport apparatus
US9929029B2 (en) Substrate carrier system
JP4680657B2 (ja) 基板搬送システム
JP5343954B2 (ja) 基板搬送用ハンド、それを備えた基板搬送装置および基板搬送方法
KR102327286B1 (ko) 기판의 양면 처리를 위한 시스템 및 방법
JP6816132B2 (ja) 基板製造のためのウエハプレートおよびマスク器具
KR100973610B1 (ko) 엔드 이펙터 및 엔드 이펙터를 구비한 로봇
KR101773272B1 (ko) 엔드 이펙터 장치
JP5357694B2 (ja) 位置ずれ防止装置、これを備えた基板保持具、基板搬送装置および基板搬送方法
WO2021106799A1 (ja) 基板搬送装置及び基板処理システム
JP2011074487A (ja) 真空処理装置及び基板移載方法
KR102058985B1 (ko) 로드 스테이션
CN114765121A (zh) 基板搬送装置、基板搬送方法以及基板处理系统
US20090003979A1 (en) Techniques for handling substrates
KR200230616Y1 (ko) 반도체장치제조설비의진공척
US20240203776A1 (en) Transfer unit and substrate treatment apparatus including the same
JP2006019566A (ja) 半導体基板吸着ハンド及びその操作方法
KR101412641B1 (ko) 기판 반송 유닛, 기판 처리 장치, 그리고 기판 지지 유닛
JP2002151566A (ja) 基板搬送装置
KR20190143590A (ko) 반송 로봇 및 이를 가지는 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180418

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190418

Year of fee payment: 7