KR101660241B1 - 기판을 이동시키기 위한 시스템, 장치 및 방법 - Google Patents

기판을 이동시키기 위한 시스템, 장치 및 방법 Download PDF

Info

Publication number
KR101660241B1
KR101660241B1 KR1020117018724A KR20117018724A KR101660241B1 KR 101660241 B1 KR101660241 B1 KR 101660241B1 KR 1020117018724 A KR1020117018724 A KR 1020117018724A KR 20117018724 A KR20117018724 A KR 20117018724A KR 101660241 B1 KR101660241 B1 KR 101660241B1
Authority
KR
South Korea
Prior art keywords
substrate
end effector
pads
base portion
moving
Prior art date
Application number
KR1020117018724A
Other languages
English (en)
Other versions
KR20110104993A (ko
Inventor
프루드흐비 알. 친타라파티
사티쉬 선다르
보리스 악셀로드
마리오 대이브 실베티
톰 케이. 조
제프리 에이. 브로딘
제이슨 케이. 포스터
에드워드 엔지
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110104993A publication Critical patent/KR20110104993A/ko
Application granted granted Critical
Publication of KR101660241B1 publication Critical patent/KR101660241B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Devices For Indicating Variable Information By Combining Individual Elements (AREA)

Abstract

전자 디바이스 제조 시 기판을 이동시키기 위한 시스템, 방법 및 장치가 제공된다. 일부 양태에서, 베이스부 및 3개 이상의 패드를 갖는 엔드 이펙터가 제공된다. 패드는 각각 접촉 표면을 갖고, 접촉 표면 중 하나 이상은 만곡형 형상을 갖는다. 엔드 이펙터에 의해 지지되는 기판은 패드에 대해 상당한 미끄러짐 없이 비교적 높은 측방향 중력 (lateral g-force)으로 이동될 수 있다. 추가의 양태가 제공된다.

Description

기판을 이동시키기 위한 시스템, 장치 및 방법 {SYSTEMS, APPARATUS AND METHODS FOR MOVING SUBSTRATES}
관련 출원
본 출원은 모든 목적을 위해 전체로서 본 명세서에 참조로 통합되는, 2009년 1월 11일자로 출원되고 제목이 "SYSTEMS, APPARATUS AND METHODS FOR MOVING SUBSTRATES (대리인 사건 번호 13252/L)"인 U.S. 가특허출원 제 61/143,805호를 우선권으로 주장한다.
본 발명은 전자 디바이스 제조, 보다 구체적으로, 기판을 이동시키기 위한 시스템, 장치 및 방법에 관한 것이다.
전자 디바이스 제조시, 기판(예를 들면, 실리콘 웨이퍼, 유리판 등)은 로봇을 포함하는 기계 디바이스에 의해 제조 장비 내에서 그리고 제조 설비 도처에서 이동될 수 있다. 기계 디바이스는 기판을 엔드 이펙터(end effector)와 접촉시킬 수 있다. 엔드 이펙터는, 기판이 주의 깊게 이동될 때 임의의 최종 제품의 품질이 향상될 수 있기 때문에, 제조 프로세스에서 중요한 구성요소이다.
제 1 양태에서, 전자 디바이스 제조 프로세스에서 기판을 이동시키기 위한 시스템이 제공된다. 이 시스템은 기판을 이동시키는 로봇을 포함하며, 상기 로봇은 엔드 이펙터를 포함한다. 상기 엔드 이펙터는 베이스부 및 상기 베이스부 상에 배치되는 3개 이상의 패드를 포함하며, 상기 패드는 각각 접촉 표면을 포함하고, 하나 이상의 접촉 표면은 만곡형 형상 및 약 45 μin Ra 내지 약 65 μin Ra의 조도(roughness)를 갖는다.
다른 양태에서 기판을 이동시키기 위한 엔드 이펙터가 제공된다. 상기 엔드 이펙터는 베이스부 및 상기 베이스부 상에 배치되는 3개의 패드를 포함하며, 상기 패드는 각각 접촉 표면을 갖고, 상기 접촉 표면 중 하나 이상은 만곡형 형상을 갖는다.
다른 양태에서, 기판을 이동시키기 위한 엔드 이펙터가 제공된다. 상기 엔드 이펙터는 Ti-도핑된 알루미나 세라믹을 포함하는 베이스부, 상기 베이스부 상에 배치된 Ti-도핑된 알루미나 세라믹을 포함하는 3개의 패드 및 각각의 상기 3개의 패드 상의 접촉 표면을 포함하며, 상기 접촉 표면은 각각 약 0.64 ㎜ 내지 약 9.53 ㎜의 곡률 반경을 갖는 만곡형 형상 및 약 45 μin Ra 내지 약 65 μin Ra의 조도를 갖는다.
다른 양태에서, 기판을 이동시키기 위한 엔드 이펙터가 제공된다. 상기 엔드 이펙터는 베이스부 및 상기 베이스부 상에 배치된 3개 이상의 패드를 포함하고, 상기 패드는 각각 접촉 표면을 갖고, 상기 접촉 표면 중 하나 이상은 만곡형 형상 및 약 45 μin Ra 내지 약 65 μin Ra의 조도를 갖는다.
방법 양태에서, 전자 디바이스 제조 프로세스에서 기판을 이동시키는 방법이 제공된다. 상기 방법은 로봇 아암을 포함하는 기판 이송 로봇을 제공하는 단계, 상기 로봇 아암 상에 엔드 이펙터를 제공하는 단계, 상기 엔드 이펙터와 접촉하도록 기판을 배치하는 단계 및 상기 로봇 아암을 이동시키는 단계를 포함하며, 상기 엔드 이펙터는 베이스부 및 상기 베이스부 상에 배치된 3개 이상의 패드를 포함하며, 상기 패드는 각각 접촉 표면을 포함하고, 상기 접촉 표면 중 하나 이상은 만곡형 형상 및 약 45 μin Ra 내지 약 65 μin Ra의 조도를 갖는다.
본 발명의 다른 특징 및 양태는 하기의 상세한 설명, 첨부된 특허청구범위 및 첨부 도면으로부터 더 충분히 명확해질 것이다.
도 1은 본 발명의 실시예에 따라 제공되는 예시적인 전자 디바이스 제조 프로세싱 툴의 개략적 평면도이고,
도 2는 본 발명의 실시예에 따라 제공되는 예시적인 엔드 이펙터의 사시도이며,
도 2a는 본 발명의 실시예에 따라 제공되는 도 2의 예시적인 엔드 이펙터의 측면도이며,
도 3은 본 발명의 실시예에 따라 제공되는 다른 예시적인 엔드 이펙터의 사시도이며,
도 3a는 본 발명의 실시예에 따라 제공되는 도 3의 예시적인 엔드 이펙터의 측면도이며,
도 4는 본 발명의 실시예에 따라 제공되는 베이스부 상에 배치된 예시적인 패드를 갖는 엔드 이펙터의 확대된 부분 측단면도이며,
도 5는 본 발명의 실시예에 따라 제공되는 베이스부 상에 배치된 예시적인 패드를 갖는 다른 엔드 이펙터의 확대된 부분 측단면도이며,
도 6은 본 발명의 실시예에 따라 제공되는 예시적인 패드와 접촉하는 기판의 측면도이며,
도 6a는 본 발명의 실시예에 따라 제공되는 예시적인 패드와 접촉하는 구부러진 기판의 측면도이며,
도 7은 본 발명의 실시예에 따라 제공되는 기판을 이동시키기 위한 예시적인 방법의 흐름도이며,
도 8은 400 ㎛의 구부러진 반도체 웨이퍼에 관한 기판(웨이퍼) 배치 테스트의 결과의 도표이며,
도 9는 150 ㎛의 구부러진 반도체 웨이퍼에 관한 기판(웨이퍼) 배치 테스트의 결과의 도표이며,
도 10은 거꾸로 뒤집어진(inverted) 반도체 웨이퍼에 관한 기판(웨이퍼) 배치 테스트의 결과의 도표이며,
도 11은 실리콘 더스트(silicon dust)가 웨이퍼를 지지하는 패드 상에 배치된 후에 이동되었던 반도체 웨이퍼에 관한 기판(웨이퍼) 배치 테스트의 결과의 도표이다.
전자 디바이스 제조시, 기판(예를 들면, 실리콘 웨이퍼, 유리판 등)은 다수의 제조 단계를 통하여, 종종 로봇 디바이스에 의해 이동된다. 기판을 빠르게 이동시키는 것은 수율을 증가시킬 수 있으며, 결과적으로 제조 비용을 감소시킬 수 있다. 그러나 기판은 기판이 완성되기 전에도 상당한 가치를 가질 수 있다. 따라서, 기판이 제조 단계를 통하여 이동할 때 기판을 떨어뜨리거나 그외 손상시키는 것을 방지하도록 주의가 기울어져야 한다. 또한, 기판 상의 미립자는 제조를 까다롭게 할 수 있다. 미립자의 발생은 다른 것들 중에서 기판이 표면 상에서 슬라이딩(slide)할 때 증가할 수 있다. 따라서, 기판의 슬라이딩을 최소화하는 것이 바람직하다.
본 발명의 실시예들은 비교적 논-슬립(non-slip)인 특성을 갖는 엔드 이펙터를 포함한다. 엔드 이펙터는 적어도 3개의 패드가 상부에 배치되는 베이스부를 포함할 수 있다. 각각의 패드는 접촉 표면을 가질 수 있으며, 접촉 표면 상에는 기판이 배치될 수 있고, 적어도 하나의 접촉 표면은 만곡형(curved)일 수 있다. 기판은 패드와 접촉하도록 배치될 수 있으며, 예를 들면 엔드 이펙터에 의해 다양한 제조 단계 또는 위치로 그리고 제조 단계 또는 위치로부터 이동될 수 있다. 일부 실시예에서, 상기 패드들 중 하나 또는 두 개 이상은 기판 슬라이딩의 가능성을 더 감소시킬 수 있는 특정한 표면 조도(roughness)를 갖는 접촉 표면을 가질 수 있다. 추가로, 패드는 엔드 이펙터의 논-슬립(non-slip) 특성에 기여할 수 있는 구성으로 베이스부 상에 배치될 수 있다. 따라서, 유리하게, 기판은 엔드 이펙터로부터 떨어질 가능성 감소, 보다 반복 가능하고 정확한 기판 배치를 가져오는 슬라이딩 최소화, 및/또는 미립자 발생 최소화를 가지면서 비교적 빠르게 이동될 수 있다. 일 양태에서, 엔드 이펙터는 불완전하게 형상이 만들어질 수 있는, 예를 들면 구부러질 수 있는 기판을 포함하는 다양한 기판을 수용할 수 있다.
상기 시스템, 장치 및 방법의 이러한 그리고 여타 실시예는 도 1 내지 도 11을 참조로 하기에 설명된다.
도 1은 본 발명의 실시예에 따라 제공되는 예시적인 전자 디바이스 프로세싱 툴(100)을 도시한다. 도 1을 참조하면, 프로세싱 툴(100)은 이송 챔버(104)에 결합되는 다수의 프로세싱 챔버(102)를 포함할 수 있다. 이송 챔버(104)는 이송 챔버(TC) 로봇(106)을 하우징(house)할 수 있다. TC 로봇(106)은 제 1 연동장치(linkage)(112)에서 로봇 베이스(110)에 연결되고 제 2 연동장치(116)에서 제 2 아암(114)에 연결되는 제 1 아암(108)을 가질 수 있다. (도면으로부터 부분적으로 가려진) 엔드 이펙터(118)는 제 2 연동장치(116) 말단의 제 2 아암(114)에 부착될 수 있다. 엔드 이펙터(118)는 기판(120)(예를 들면, 반도체 웨이퍼, 유리판 등)과 접촉할 수 있다(예를 들면, 기판을 이송시킬 수 있다).
프로세싱 툴(100)의 이송 챔버(104)는 로드록 챔버(load lock chamber)(122)를 통해 팩토리 인터페이스(124)에 연결될 수 있다. 팩토리 인터페이스(124)는 팩토리 인터페이스(FI) 로봇(126)을 하우징할 수 있다. FI 로봇(126)은, 제 1 연동장치(132)에서 로봇 베이스(130)에 연결되고 제 2 연동장치(136)에서 제 2 아암(134)에 연결되는 제 1 아암(128)을 가질 수 있다. (도면으로부터 부분적으로 가려진) 엔드 이펙터(138)는 제 2 연동장치(136) 말단의 제 2 아암(134)에 부착될 수 있다. 엔드 이펙터(138)는 기판(140)과 접촉할 수 있다(예를 들면, 기판을 이송할 수 있다).
FI 로봇(126)은 X 방향을 따라 앞뒤로, 세정실 벽(142)에 평행한 경로로 FI 로봇(126)이 이동하게 하는 트랙(미도시) 상에 놓일 수 있다. 팩토리 인터페이스(124)는 세정실 벽의 제 1 측면(144)에 인접할 수 있다.
기판 캐리어(146)는 세정실 벽의 제 2 측면(148)에 대해 분리 가능하며 제거 가능하게 연결될 수 있으며, 세정실 벽 내의 개구들(미도시)을 통하여 팩토리 인터페이스(150)의 내부 공간과 연결될 수 있다. 가능한 기판 위치(152)는 프로세싱 챔버(102), 로드록 챔버(122) 및 기판 캐리어(146) 내에 파선으로 도시된다.
프로세싱 툴(100)은 제어기(154)에 결합될 수 있다. 제어기(154)는 기판 이동 및 프로세싱을 제어할 수 있다. 제어기(154)는 예를 들면 중앙 처리 유닛(CPU)(156), 지원 회로(158) 및 메모리(160)를 포함할 수 있다. CPU(156)는 다양한 챔버 및 하위 프로세서를 제어하는 산업 환경(industrial setting)에서 사용될 수 있는 임의의 형태의 컴퓨터 프로세서 중 하나일 수 있다. 메모리(160)는 CPU(156)에 결합될 수 있다. 메모리(160)는 컴퓨터 판독 가능한 매체일 수 있으며, 로컬에 있든 아니면 원격에 있든, 랜덤 액세스 메모리(RAM), 읽기 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 디지털 저장소와 같이 쉽게 이용 가능한 메모리 중 하나 또는 그보다 많을 수 있다. 지원 회로(158)는 임의의 통상의 방식으로 CPU(156)를 지원하도록 CPU(156)에 결합될 수 있다. 지원 회로(158)는 캐시(cache), 전력 공급원, 클록 회로(clock circuits), 입력/출력 회로, 하위 시스템 등을 포함할 수 있다.
프로세싱 툴은 다양한 구성으로 배치될 수 있으며, 다양한 로봇, 예를 들면 SCARA 로봇, 4-링크 로봇 등인 상이한 구성으로 사용될 수 있다. 각각의 로봇은 기판과 접촉하도록 적어도 하나의 엔드 이펙터(때때로 블레이드로 지칭됨)을 가질 것이지만, 둘 또는 그보다 많은 엔드 이펙터를 가질 수도 있다. 엔드 이펙터는, 예를 들면 중력 엔드 이펙터, 진공 엔드 이펙터 및/또는 정전기 엔드 이펙터일 수 있다. 이송 챔버 내부 공간(162) 및/또는 프로세싱 챔버 내부 공간(164)은 매우 낮은 압력 또는 진공으로 유지될 수 있다. 진공 엔드 이펙터는 엔드 이펙터에 기판을 부착시키기 위한 차압(pressure differential)을 발생시키는 것이 어렵거나 불가능할 수 있기 때문에, 이러한 환경에서 항상 적합하지 않을 수도 있다. 따라서, 예를 들면 중력 엔드 이펙터는 적어도 낮은 압력 또는 진공 환경에서 특히 적합할 수 있다.
작동 시, TC 로봇(106)은 제 1 연동장치(112) 및 제 2 연동장치(116)에서의 회전이 결합하여 제 2 아암(114) 및 엔드 이펙터(118)를 희망 위치에 위치시키고 희망 위치로 연장시킬 수 있도록 배치될 수 있다. TC 로봇(106)은 예를 들면, 프로세싱 챔버(102)와 로드록 챔버(122) 사이에서 또는 상이한 프로세싱 챔버(102)들 사이에서 기판을 이동시킬 수 있다. 유사한 방식으로, FI 로봇(126)은 제 1 연동장치(132) 및 제 2 연동장치(136)에서의 회전이 결합하여 제 2 아암(134) 및 엔드 이펙터(138)를 희망 위치에 위치시키고 희망 위치로 연장시키도록 또한 배치될 수도 있다. FI 로봇(126)은 예를 들면 기판 캐리어(146)와 로드록 챔버(122) 사이에서 기판을 이동시킬 수 있다. 그렇게 함으로써, FI 로봇이 X 방향으로 앞뒤로 트랙(미도시)을 따라 이동할 수 있음으로써, FI 로봇(126)은 다수의 기판 캐리어(146)에 액세스할 수 있다.
제조 프로세스가 진행될 때, 직렬로 작동하는 FI 로봇(126) 및 TC 로봇(106)은 기판 캐리어(146)와 프로세싱 챔버(102) 사이에서 기판을 이동시킬 수 있다. 다양한 전자 디바이스 제조 프로세스, 예를 들면 산화, 박막 증착, 에칭, 열 처리, 가스 제거, 냉각 등과 같은 예를 들면 반도체 디바이스 제조 프로세스가 프로세싱 챔버(102) 내에서 일어날 수 있다.
기판은 제조 프로세스를 가속하고 결과적으로 제조 비용을 절감하도록 가능한 빠르게 이동되는 것이 바람직할 수 있다. 그러나 기판이 FI 로봇(126) 및/또는 TC 로봇(106)에 의해(또는 도 1에 도시되거나 본 명세서에서 논의되지 않는 다른 로봇에 의해) 이동될 때, 하나 또는 그보다 많은 엔드 이펙터(118, 138) 상에서의 기판의 슬라이딩 가능성은 엔드 이펙터의 비교적 빠른 가속 및 감속으로부터 중력(g-force)이 증가함에 따라 증가한다. 슬라이딩은 특히 중력 엔드 이펙터와 관련하여 일어날 수 있다. 슬라이딩은 기판이 엔드 이펙터로부터 떨어지게 하여 결과적으로 기판이 복구되는 동안 시스템 작동이 지연되어야 하는 것을 요구할 수 있다. 기판을 떨어뜨리는 것은 제조 프로세스를 느리게 할 수 있으며, 추가로 손상된 기판을 초래할 수 있다. 따라서, 적어도 기판이 엔드 이펙터로부터 떨어지는 것을 방지하기 위해, 기판 슬라이딩의 가능성을 감소시키는 엔드 이펙터를 사용하는 것이 바람직할 수 있다.
또한, 엔드 이펙터 상에서의 슬라이딩은 기판이 엔드 이펙터로부터 떨어지지 않을 때에도 제조 프로세스에 부정적 영향을 미칠 수 있다. 예를 들면, 엔드 이펙터를 향하는 기판의 측면(즉, 기판의 "배면")에는, 다른 것들 중에서 기판이 엔드 이펙터 상에서 슬라이딩할 때, 미립자(즉, "배면 미립자")가 축적될 수 있다("미립자는 "부가물(adders)"로도 지칭될 수 있음). 예를 들면, 슬라이딩은 기판의 표면을 스크래칭하여 미립자를 형성할 수 있으며, 미립자는 기판의 배면에 점착할 수 있다. 이들 미립자는 기판의 측면에 도달할 수 있다. 또한, 기판이 스크래칭되게 하는 것은 바람직하지 않은데, 이는 스크래칭(scratching)이 단독으로 임의의 최종 제품의 품질을 떨어뜨릴 수 있기 때문이다. 더구나, 미립자 발생은 그에 따라 다른 기판이 오염될 수 있기 때문에 일반적으로 유해할 수 있다. 게다가, 슬라이딩은 프로세스 챔버 내에서 기판의 부적절한 위치설정을 초래하며 그에 따라 부적절한 프로세싱을 야기할 가능성이 있다.
배면 미립자 및/또는 기판 스크래칭은 기판 슬라이딩을 감소시키거나 제거함으로써 감소되거나 제거될 수 있기 때문에, 슬라이딩을 감소시키거나 제거하도록 돕는 엔드 이펙터가 전자 디바이스 제조에 매우 유리할 수 있다. 보다 구체적으로, 기판이 배면 미립자를 축적하지 않고/않거나 스크래칭되거나 그외 손상되지 않고 비교적 높은 중력을 겪을 수 있도록, 기판 슬라이딩을 감소시키거나 제거하는 것이 유리할 수 있다. 기판이 비교적 높은 중력을 겪도록 함으로써 제조 단계들은 프로세스 사이클 간 시간(between process cycle time)이 감소되고 그에 따라 전체 시스템 수율이 증가되도록 진행할 수 있다.
엔드 이펙터가 다양한 형상의 기판들을 수용하는 것이 또한 중요할 수 있다. 예를 들면, 대부분의 기판은 편평하거나 본질적으로 편평한 반면, 일부 경우에서 기판은 구부러질(예를 들면, 오목하거나 볼록할) 수 있다. 기판 형상은 기판이 엔드 이펙터와 접촉하는 방법 및 위치에 영향을 미칠 수 있으며, 결과적으로 기판 슬라이딩의 가능성에 영향을 줄 수 있다. 게다가, 기판은 적어도 기판 조성 등으로 인해 상이하게 슬라이딩할 수 있다. 또한, 제조 환경에서, 예를 들면 실리콘 더스트와 같은 다양한 미립자가 엔드 이펙터 상에 증착될 수 있다. 이들 미립자는 기판 슬라이딩의 가능성을 증가시킬 수 있다.
도 2는 엔드 이펙터(200)의 예시적인 실시예를 도시한다. 엔드 이펙터(200)는 그 위에 배치되는 제 1 패드(204), 제 2 패드(206) 및 제 3 패드(208)를 갖는 베이스부(202)를 포함할 수 있다. 베이스부(202)는 베이스부 기단부(210) 및 베이스부 말단부(212)를 포함할 수 있다. 기단부(210)는 엔드 이펙터(200)가 사용 중일 때 로봇 아암(미도시)에 가장 가깝거나 로봇 아암에 부착될 수 있다. 엔드 이펙터(200)는 예를 들면 나사, 볼트, 클램프 등에 의해 로봇 아암에 부착될 수 있도록 구성될 수 있다. 각각의 패드(204, 206, 208)는 기판이 엔드 이펙터(200)와 접촉하여 배치될 때 기판(미도시)과 접촉하여 적응될 수 있는 접촉 표면(214)을 가질 수 있다. 제 1 패드(204), 제 2 패드(206) 및 제 3 패드(208) 중 하나 또는 그 보다 많은 수는 예를 들면 만곡형 형상을 갖는 접촉 표면(214)을 가질 수 있다. 베이스부(202)는 또한 기판이 엔드 이펙터(200)로부터 슬라이딩할 수 없도록 더 보장하도록 베이스부 상에 배치되는 가드 레일(216)을 가질 수도 있다.
베이스부(202)는 패드(204, 206, 208)와 접촉하는 기판이 핀(미도시)에 의해 엔드 이펙터(200)로부터 들어올려질 수 있도록 형상이 만들어질 수 있다. 핀은 예를 들면, 엔드 이펙터(200)에 대해 상승할 수 있거나, 엔드 이펙터(200)는 핀이 정지되어 유지되는 동안 낮춰질 수 있거나, 핀과 엔드 이펙터(200)가 모두 동시에 이동할 수 있다. A, B, C는 기판이 예를 들면 핀 상의 적소에 배치될 때 핀이 예를 들면 위치될 수 있는 위치를 나타낸다. 베이스부 말단부(212)는 핀이 예를 들면 위치(A)에서 예를 들어 엔드 이펙터(200)에 대해 상승할 수 있도록 형상이 만들어질 수 있다. 예를 들면, 말단부(212)는 도시된 바와 같이 노치가 형성될(notched) 수 있다.
제 1 패드(204) 및 제 2 패드(206)는 (베이스부(202)의 치수를 고려하여 허용가능한 범위까지) 서로로부터 비교적 멀리 이격될 수 있다. 제 1 패드(204)는 베이스부 말단부(212)에 비교적 가까울 뿐 아니라 베이스부 제 1 에지(218)에 비교적 가까이 위치될 수 있다. 제 2 패드(206)는 베이스부 말단부(212)에 비교적 가까울 뿐 아니라 베이스부 제 2 에지(220)에 비교적 가까이 위치될 수 있다. 제 1 패드(204) 및 제 2 패드(206)와 비교하여, 제 3 패드(208)는 베이스부 기단부(210)에 비교적 더 가까이 위치될 수 있으며, 베이스부 제 1 에지(218)와 베이스부 제 2 에지(220) 사이의 대략 중간점에 위치될 수 있다.
도 2a는 도 2에 도시된 엔드 이펙터(200)이지만 가드 레일이 없는 엔드 이펙터의 측면도를 도시한다. 패드들이 엔드 이펙터와 접촉하여 배치되는 기판을 접촉하도록, 패드(206, 208, 204)(도 2a에 미도시)가 베이스부(202) 상에 배치될 수 있다.
도 3은 엔드 이펙터(300)의 다른 예시적인 실시예를 도시한다. 도 2에 도시된 엔드 이펙터와 마찬가지로, 도 3에 도시된 엔드 이펙터(300)는 베이스부(302) 및 그 위에 배치되는 제 1 패드(304), 제 2 패드(306) 및 제 3 패드(308)를 포함할 수 있다. 각각의 패드는 접촉 표면(310)을 가질 수 있다. 패드(304, 306, 308)는 도 2에 도시된 실시예와 유사할 수 있는 방식으로 위치될 수 있다. 제 1 가드 레일(312) 및 제 2 가드 레일(314)은 모두 베이스부 말단부(316)에 위치되며, 도 2에 도시된 실시예에 도시된 가드 레일보다 비교적 더 클 수 있다. 가드 레일(312, 314)은 베이스부(302)의 상승된 영역으로 구성될 수 있다. 베이스부(302)의 상승된 영역으로 또한 구성될 수 있는, 제 3 가드 레일(318)은 제 3 패드(308)보다 베이스부 기단부(320)에 더 가까이 위치될 수 있다. 가드 레일(312, 314, 318) 중 하나 또는 그 보다 많은 수는 이들이 기판의 원주의 원형 형상에 가깝도록 엔드 이펙터(300)의 수평면 상에서 둥글게 될 수 있다.
도 3a는 도 3에 도시된 엔드 이펙터(300)의 측면도를 도시한다. 이 도면은 베이스부(302)의 상승된 부분으로서 제 2 가드 레일(314) 및 제 3 가드 레일(318)을 도시한다. 패드(306, 308, 304(도 3a에 미도시))는 패드들이 엔드 이펙터와 접촉하여 배치된 기판과 접촉하도록 베이스부(302) 상에 배치될 수 있다.
도 4는 베이스부(402) 상에 배치된 예시적인 패드(400)를 갖는 엔드 이펙터의 확대된 부분 측단면도를 도시한다. 패드(400)는 기판(미도시)과 접촉할 수 있는 접촉 표면(404)을 갖는다. 접촉 표면(404)은 만곡형일 수 있다. 이 실시예의 접촉 표면(404)은 약 0.375 인치(9.53 ㎜)의 곡률 반경(R)을 가질 수 있다. 접촉 표면(404)의 조도는 ASME Y14.36M-1996 표준에 기초하여 특정된 약 45 μin Ra 내지 약 65 μin Ra의 사이에 있을 수 있다. 베이스부(402)로부터 패드 접촉 표면(404) 상의 최고점까지 측정된 패드(400)의 높이(h)는 예를 들면, 약 0.075 인치(1.9 ㎜)일 수 있다. 패드(400)는 편평한 기판 외에도 구부러진 기판들이 베이스부(402)와 접촉하지 않고 다수의 패드와 접촉할 수 있도록 충분한 높이(h)를 가질 수 있다. 하기에 논의되는 바와 같이, 만곡형 접촉 표면(404)은 기판이 편평하든지 구부러졌든지 접촉 표면(404)과 안정된 접촉을 형성할 수 있도록 보장할 수 있다. 패드의 직경은 약 0.313 인치(7.95 ㎜)일 수 있다. 도 4에 도시된 실시예에서, 패드(400) 및 베이스부(402)는 재료의 한 중실형(solid) 단편(piece)이며, 즉 패드(400)와 베이스부(402)는 모두 재료의 동일한 단편으로부터 기계가공된다.
도 5는 베이스부(502) 상에 배치되는 예시적인 패드(500)를 갖는 다른 엔드 이펙터의 확대된 부분 측단면도를 도시한다. 패드(500)는 기판(미도시)과 접촉할 수 있는 접촉 표면(504)을 갖는다. 접촉 표면(504)은 만곡형일 수 있으며, 0.025 인치(0.64 ㎜)의 곡률 반경(R)을 가질 수 있다. 접촉 표면(504)의 조도는 약 45 μin Ra 내지 약 65 μin Ra일 수 있다. 베이스부(502)로부터 패드 접촉 표면(504) 상의 최고점까지 측정된, 패드(500)의 높이(h)는 예를 들면, 약 0.075 인치(1.9 ㎜)일 수 있다. 패드(500)는 편평한 기판 외에도 구부러진 기판들이 베이스부(502)와 접촉하지 않고 다수의 패드와 접촉할 수 있도록 충분한 높이(h)를 가질 수 있다. 하기에 논의되는 바와 같이, 만곡형 접촉 표면(504)은 기판이 편평하든지 구부러졌든지 접촉 표면(504)과 안정된 접촉을 형성할 수 있도록 보장할 수 있다. 패드의 직경은 약 0.313 인치(7.95 ㎜)일 수 있다. 도 5에 도시된 실시예에서, 패드(500) 및 베이스부(502)는 분리되어 제조되며, 그 후 패드(500)는 예를 들면 에폭시와 같은 점착제를 가지고 그리고/또는 볼트 또는 나사를 가지고 베이스부(502)에 부착된다.
도 6은 베이스부(602) 상에 배치되는 2개의 예시적인 패드(600)를 도시한다. 각각의 패드(600)는 본질적으로 평편한 기판(606)과 접촉하는 접촉 표면(604)을 갖는다. 도 6a는 도 6에 도시된 동일한 예시적인 패드(600)의 접촉 표면(604)과 접촉하는 구부러진 기판(608)을 도시한다. 도 6a는 만곡형 접촉 표면(604)이 심지어 구부러진 기판(608)과도 어떻게 비교적 양호한 접촉을 형성할 것인지를 도시한다.
도 6a에서, 구부러진 기판 중심부(610)는 구부러진 기판 외측부(612)와 비교하여 베이스부(602)에 비교적 더 가깝다. 따라서, 구부러진 기판(608)은 접촉 표면 내측부(614)와 접촉한다. 예를 들면, (도시되지 않은) 구부러진 기판 중심부(610)가 구부러진 기판 외측부(612)와 비교하여 베이스부(602)로부터 비교적 더 멀리 있었다면, 구부러진 기판(608)은 접촉 표면 외측부(616)와 접촉할 것이다.
일부 실시예에서, 엔드 이펙터는 베이스부 및 베이스부 상에 배치된 적어도 3개의 패드로 구성될 수 있다. 패드의 각각은 접촉 표면을 가질 수 있으며, 패드 중 적어도 하나 상의 접촉 표면 중 적어도 하나는 만곡형 형상을 가질 수 있다. 만곡형 형상을 갖는 패드는 적어도 하나의 측면 각도(예를 들면, 도 4 및 도 5 참조)로부터 볼 때 볼록한 프로파일을 가질 수 있다. 일부 실시예에서, 접촉 표면은 하나 또는 그보다 많거나 심지어 모든 측면 각도로부터 볼 때 대칭인 볼록한 만곡형 형상을 가질 수 있다. 예를 들면, 접촉 표면은 임의의 측면으로부터 볼 때, 접촉 표면에, 대칭인 볼록한, 즉 돔의 외관과 같은 외관을 제공하는 대칭인 만곡형 형상을 가질 수 있다. 그러나 접촉 표면은 비대칭일 수 있다. 임의의 접촉 표면은 접촉 표면의 상이한 지점에서 상이한 곡률 반경을 갖도록 만곡될 수 있으며, 즉 접촉 표면은 하나 또는 그보다 많은 위치에서 만곡될 수 있거나 그들 표면에 걸쳐서 균일하거나 불균일하게 만곡될 수 있다. 적어도 하나의 패드는 기판이 엔드 이펙터와 접촉하여 배치될 때 기판과 접촉하는 만곡형 표면을 가질 수 있다. 패드 및/또는 패드 접촉 표면은, 예를 들면 일반적으로 원통형, 입방형, 원뿔형, 또는 다른 형상을 가질 수 있다. 각각의 패드는 상이하게 형상이 만들어질 수 있거나, 각각의 패드는 나머지 패드와 유사하게 형상이 만들어질 수 있다.
엔드 이펙터는 베이스부 상에 배치되는 단지 3개의 패드, 3개보다 많은 패드(예를 들면, 4개의 패드), 또는 4개보다 많은 패드를 가질 수 있다. 3개의 패드를 갖는 실시예에서, 패드는 도 2 및 도 3에 도시된 바와 같이 배치될 수 있지만, 반드시 그러한 것은 아니다. 4개 또는 그보다 많은 패드를 갖는 실시예에서, 2개의 패드는 베이스부 말단부(212) 상에 도시된 패드(도 2 참조)와 유사한 방식으로 베이스부의 기단부 상에서 서로로부터 비교적 멀리 떨어져 배치될 수 있다.
베이스부 및/또는 하나 또는 그보다 많은 패드 및/또는 하나 또는 그보다 많은 가드 레일은, 예를 들면 비교적 낮은 열 전도성, 비교적 높은 강성(stiffness) 대 중량 비율 및 비교적 낮은 열 팽창 계수를 갖는 재료로 구성될 수 있다. 베이스부 및/또는 하나 또는 그보다 많은 패드 및/또는 하나 또는 그보다 많은 가드 레일은, 예를 들면 약 3.96 g/cc의 밀도, 및/또는 약 370 GPa의 탄성률, 및/또는 약 7.4 ㎛/m-℃의 열팽창 계수, 및/또는 약 2000℃의 작동 온도 한계를 갖는 재료로 구성될 수 있다.
엔드 이펙터는 예를 들면 약 0.44(0.2 kg) 내지 약 0.53 lbs(0.24 kg)의 중량 및/또는 약 0.013 인치(0.33 ㎜) 내지 약 0.015 인치(0.38 ㎜)의 처짐(droop)(그 자체 중량 하에서 엔드 이펙터의 종단부에서의 편향) 및/또는 약 47.9 Hz 내지 약 49.3 Hz의 제 1 고유 주파수를 가질 수 있다.
베이스부 및/또는 하나 또는 그보다 많은 패드 및/또는 하나 또는 그보다 많은 가드 레일은 아킹(arcing)을 방지하고 전기 방전을 위한 접지 경로를 제공하도록, 전기 전도성 재료로 형성될 수 있다. 예를 들면, 베이스부 및/또는 하나 또는 그보다 많은 패드 및/또는 하나 또는 그보다 많은 가드 레일은 예를 들면, 스테인리스 스틸, 알루미나, 니켈 도금된 알루미늄 등으로 구성될 수 있다. 베이스부 및/또는 하나 또는 그보다 많은 패드 및/또는 하나 또는 그보다 많은 가드 레일은 세라믹, 예를 들면 지르코니아, 실리콘 카바이드 또는 Ti-도핑된 세라믹으로 형성될 수 있다. 베이스부 및/또는 하나 또는 그보다 많은 패드 및/또는 하나 또는 그보다 많은 가드 레일은 약 99.5% 알루미나로 제조된 Ti-도핑된 세라믹으로 형성될 수 있다. 일부 실시예에서, 베이스부 및/또는 하나 또는 그보다 많은 패드 및/또는 하나 또는 그보다 많은 가드 레일은 약 1 x 106 내지 약 1 x 1013 ohms/cm 사이의 표면 저항 범위를 갖는 재료로 형성될 수 있다. 베이스부 및/또는 하나 또는 그보다 많은 패드 및/또는 하나 또는 그보다 많은 가드 레일은 동일한 재료 또는 상이한 재료로 제조될 수 있다.
일부 실시예에서, 베이스부 및/또는 하나 또는 그보다 많은 패드 및/또는 하나 또는 그보다 많은 가드 레일은 재료의 한 단편, 예를 들면 단일한 블록으로부터 베이스부를 가지고 기계가공될 수 있다. 따라서, 예를 들면 베이스부, 모든 패드 및 모든 가드 레일은 모두 재료의 한 중실형 단편으로 기계가공될 수 있다. 다른 실시예에서, 베이스부 상에 배치된 패드 중 하나 또는 둘 이상 및/또는 베이스부 상에 배치된 가드 레일 중 하나 또는 둘 이상은 분리되어 제조될 수 있고, 예를 들어, 에폭시와 같은 접착제 및/또는 하나 또는 그보다 많은 나사, 압입(press fit) 등을 가지고 베이스부에 부착될 수 있다.
일부 실시예에서, 패드는 기판의 표면 영역에 대하여 충분한 패드 사이의 거리를 제공하도록 서로로부터 비교적 멀리 분포될(spread) 수 있다. 패드는 예를 들면, 둘 또는 그보다 많은 패드가 베이스부 말단부를 향하여 위치되고 하나 또는 그보다 많은 패드가 베이스부 기단부를 향하여 위치되도록(도 2 참조) 위치될 수 있다. 패드는 예를 들면, 둘 또는 그보다 많은 패드가 베이스부 기단부를 향하여 위치되고 하나 또는 그보다 많은 패드가 베이스부 말단부를 향하여 위치되도록 위치될 수 있다. 엔드 이펙터는 가드 레일을 포함할 수 있지만 반드시 그러한 것은 아니다.
베이스부는 재료의 하나보다 많은 수의 단편으로 제조될 수 있거나 재료의 한 중실형 단편일 수 있다. 베이스부가 하나보다 많은 수의 단편인 경우, 베이스부의 각각의 단편은 패드를 포함하지 않거나 하나 또는 그보다 많은 패드를 포함할 수 있으며, 베이스부의 각각의 단편은 베이스부 및/또는 하나 또는 그보다 많은 패드 및/또는 하나 또는 그보다 많은 가드 레일의 나머지 단편(들)과 동일한 재료 또는 상이한 재료로 제조될 수 있다.
본 발명의 실시예는 중력 엔드 이펙터, 진공 엔드 이펙터 및/또는 정전기 엔드 이펙터와 같은 전기 엔드 이펙터로서 활용을 찾을 수 있다.
본 발명의 일부 실시예에서, 패드는 예를 들면, 약 0.025 인치(0.64 ㎜) 내지 약 0.375 인치(9.53 ㎜)의 범위에서 접촉 표면 곡률 반경(R)(도 4 및 도 5 참조)을 가질 수 있다. 기판은 적어도 접촉 표면 형상 및 기판 형상에 따라, 패드 접촉 표면 상의 상이한 위치 상에서 하나 또는 그보다 많은 패드와 접촉할 수 있다.
본 발명의 실시예에서, 하나 또는 그보다 많은 패드 접촉 표면은 약 45 μin Ra 내지 약 65 μin Ra의 표면 조도를 가질 수 있다. 하나 또는 그보다 많은 패드는 예를 들면, 약 0.050 인치(1.3 ㎜) 내지 약 0.1 인치(3 ㎜)의 높이(h)(예를 들어, 도 4 및 도 5 참조)를 가질 수 있다. 하나 또는 그보다 많은 패드는 약 0.075 인치(1.9 ㎜)의 높이를 가질 수 있다. 각각의 패드의 높이(h)는 베이스부 상에 배치된 나머지 패드의 높이(h)와 동일할 수 있지만, 동일하지 않을 수도 있다. 일부 실시예에서, 각각의 패드의 높이는 구부러진 패드가 엔드 이펙터의 베이스부와 접촉하는 것을 방지하기에 충분할 수 있다. 패드 접촉 표면을 포함하는 임의의 패드는 예를 들면, 하나의 균질하거나 본질적으로 균질한 재료로 구성될 수 있다. 하나 또는 그보다 많은 패드는 약 0.2 내지 약 0.5 인치 사이의 직경 및 일부 실시예에서 약 0.313 인치의 직경을 가질 수 있다.
기판은 엔드 이펙터 상에 놓이거나 배치될 수 있으며(즉, 엔드 이펙터의 상단측 상에 배치될 수 있으며), 중력에 의해 적소에 유지될 수 있다. 그러나 본 발명의 실시예는 정전기, 진공 또는 다른 유형의 엔드 이펙터를 포함할 수 있으며, 이들 엔드 이펙터는 중력에 부가하여 또는 중력과 다른 방식으로 기판과 접촉하고 기판에 부착할 수 있다. 따라서, 본 발명의 실시예는 엔드 이펙터가 기판의 바닥 또는 배면이 아닌 기판의 상단측과 접촉하는 상황에 적용할 수 있다. 예를 들면, 기판은 기판이 접촉하는 엔드 이펙터 아래에 위치될 수 있다.
작동시, 기판은 기판이 패드 접촉 표면과 접촉을 형성하도록 엔드 이펙터와 접촉하여 배치될 수 있다. 일부 경우, 구부러진 기판은 기판이 패드 접촉 표면과 접촉하도록 엔드 이펙터와 접촉하여 배치될 수 있다. 엔드 이펙터는 비교적 높은 중력으로 가속 및/또는 감속될 수 있으며, 기판은 슬라이딩하지 않거나, 대안적으로 단지 비교적 근소한 거리를 슬라이딩할 것이다. 따라서, 스크래칭을 야기할 수 있거나 엔드 이펙터로부터 기판이 떨어지게 할 수 있는 슬라이딩으로부터의 기판에 대한 임의의 손상이 상당히 감소된다. 슬라이딩이 감소될 수 있기 때문에, 패드 및/또는 기판으로부터의 미립자의 발생 및 축적이 또한 감소될 수 있다.
일부 실시예에서, 엔드 이펙터는 적어도 0.13 g의 가속도로 이동하는 동안, 약 ±0.005 인치(0.13 ㎜) 이내, 또는 약 ±0.0044 인치(0.11 ㎜) 이내, 또는 심지어 약 ±0.00335 인치(0.085 ㎜) 이내에 기판 배치를 유지할 수 있다. 추가 실시예에서, 엔드 이펙터는 적어도 0.13 g의 가속도로 이동하는 동안, 약 ±0.0029 인치(0.074 ㎜) 또는 심지어 약 ±0.0009 인치(0.02 ㎜) 이내에 기판 배치를 유지할 수 있다.
도 7은 기판을 이동시키도록 본 발명의 엔드 이펙터를 구비한 로봇을 활용하는 제조 방법의 예시적인 흐름도이다. 방법(700)에 따르면, 단계(702)에서 ,기판을 이송하기에 적합한 아암을 갖도록 구성된 로봇이 제공된다. 단계(704)에서, 만곡형 형상을 갖는 접촉 표면을 포함하는 적어도 하나의 패드를 갖는 본 발명의 엔드 이펙터가 그에 대한 적합한 부착을 통하여 로봇 아암 상에 제공된다. 패드는 전술된 바와 같은 표면 조도를 더 포함할 수 있다. 단계(706)에서, 기판은 엔드 이펙터의 패드와 접촉하여 배치된다. 단계(708)에서, 로봇 아암은 이동되고, 그 결과 엔드 이펙터 및 엔드 이펙터와 접촉하는 기판이 이동된다. 전술된 프로세스는 다양한 엔드 이펙터 및 기판을 가지고 임의의 횟수만큼 반복될 수 있다.
도 8 내지 도 11은 본 발명의 엔드 이펙터를 가지고 0.13 G에서 기판을 이동시킬 때, 의도된 배치 위치로부터의 배치 편차를 도시하는 다양한 데이터 도표를 도시한다. 모든 테스트는 동일한 세라믹 재료로 구성된 돔형 패드(domed pad)를 갖는 Ti-도핑된, 99.5% 알루미나 세라믹 엔드 이펙터를 가지고 실행되었다.
도 8에서 테스트된 기판은 약 400 미크론의 압축 굽힘(compressive bow)을 갖는 매우 구부러진 웨이퍼이다. 도 8은 개략적으로 500 사이클에 걸쳐서 0.13 g 횡가속도(lateral acceleration)에서 +/- 2.9 mils의 최대 배치 편차(인치 단위)를 도시한다. 따라서, 이러한 도표는 돔형 패드를 포함하는 본 발명이 비교적 높은 중력(g) 상태에서 구부러진 기판 상의 배치 편차를 제어할 때 매우 효과적이라는 것을 보여준다.
도 9는 약 150 미크론의 인장 굽힘(tensile bow)을 갖는 덜 구부러진 실리콘 웨이퍼인 엔드 이펙터에 의해 이송되는 기판을 도시한다. 도 9는 대략적으로 250 사이클에 걸쳐서 0.13 g 횡가속도에서 +/-2.9 mils의 최대 배치 편차(인치 단위)를 도시한다. 이러한 도표는 돔형 패드를 포함하는 본 발명이 비교적 높은 중력(g) 상태에서 심지어 인장 굽힘된 웨이퍼 상에서조차 배치 편차를 제어할 때 매우 효과적이라는 것을 보여준다.
도 10은 낮은 마찰 표면 상태(μ = 0.11 내지 0.13)를 갖는 구부러진 실리콘 웨이퍼인 기판에 대한 테스트 데이터를 도시한다. 도 10은 대략적으로 450 사이클에 걸쳐서 0.13 g 횡가속도에서 +/-4.4 mils의 최대 배치 편차(인치 단위)를 도시한다. 이러한 도표는 돔형 패드를 포함하는 본 발명이 비교적 높은 중력(g) 상태에서 심지어 낮은 마찰 웨이퍼 상에서 배치 편차를 제어할 때 매우 효과적이라는 것을 보여준다.
도 11은 가능한 사용 중 상태를 모의하도록 패드가 실리콘 더스트로 자유로이 살포되었던 실리콘 웨이퍼인 기판에 대한 테스트 데이터를 도시한다. 도 11은 대략적으로 550 사이클에 걸쳐서 0.13 g 횡가속도에서 +/-3.35 mils의 최대 배치 편차(인치 단위)를 도시한다. 이러한 도표는 돔형 패드를 포함하는 본 발명이 패드가 실리콘 더스트에 노출될 때조차 비교적 높은 중력(g) 상태에서 배치 편차를 제어할 때 매우 효과적이라는 것을 보여준다.
전술한 상세한 설명은 본 발명의 예시적인 실시예만을 개시한다. 본 발명의 범주 내에 속하는 위에 개시된 시스템, 장치 및 방법의 변형들이 당업자에게 용이하게 명확해질 것이다. 예를 들면, 정확한 패드 배치 및 사용된 패드의 개수는 본 발명의 상이한 실시예에서 변화될 수 있다.
따라서, 본 발명은 그 예시적인 실시예에 관하여 개시되었지만, 이어지는 특허청구범위에 의해 한정된 것처럼 본 발명의 범주 및 사상 내에 다른 실시예가 속할 수 있음이 이해되어야 한다.

Claims (24)

  1. 전자 디바이스 제조 프로세스에서 기판들을 이동시키기 위한 시스템으로서,
    기판들을 이동시키기 위한 로봇을 포함하며,
    상기 로봇은 엔드 이펙터를 포함하고,
    상기 엔드 이펙터는,
    베이스부, 및
    상기 베이스부 상에 배치되는 3개 이상의 패드들
    을 포함하며,
    상기 패드들의 각각은 접촉 표면을 포함하고, 하나 이상의 접촉 표면은 만곡형 형상 및 45 μin Ra 내지 65 μin Ra의 조도(roughness)를 갖는,
    전자 디바이스 제조 프로세스에서 기판들을 이동시키기 위한 시스템.
  2. 제 1 항에 있어서,
    3개의 패드들을 필수 구성으로 포함하는,
    전자 디바이스 제조 프로세스에서 기판들을 이동시키기 위한 시스템.
  3. 제 1 항에 있어서,
    4개의 패드들을 포함하는,
    전자 디바이스 제조 프로세스에서 기판들을 이동시키기 위한 시스템.
  4. 제 1 항에 있어서,
    상기 하나 이상의 접촉 표면은 0.64 ㎜ 내지 9.53 ㎜의 곡률 반경(radius of curvature)을 갖는
    전자 디바이스 제조 프로세스에서 기판들을 이동시키기 위한 시스템.
  5. 제 1 항에 있어서,
    상기 패드들 중 하나 이상 및 상기 베이스부는 전기 전도성 재료로 구성되는,
    전자 디바이스 제조 프로세스에서 기판들을 이동시키기 위한 시스템.
  6. 기판들을 이동시키는 위한 엔드 이펙터로서,
    베이스부; 및
    상기 베이스부 상에 배치되는 3개의 패드들
    을 포함하며,
    상기 패드들의 각각은 접촉 표면을 갖고, 접촉 표면들 중 하나 이상은 만곡형 형상을 가지며, 상기 만곡형 형상을 가지는 접촉 표면은 45 μin Ra 내지 65 μin Ra의 표면 조도를 갖는,
    기판들을 이동시키기 위한 엔드 이펙터.
  7. 제 6 항에 있어서,
    상기 만곡형 형상을 갖는 접촉 표면은 0.64 ㎜ 내지 9.53 ㎜의 곡률 반경을 갖는,
    기판들을 이동시키기 위한 엔드 이펙터.
  8. 삭제
  9. 제 6 항에 있어서,
    하나 이상의 패드는 전기 전도성 재료로 구성되는,
    기판들을 이동시키기 위한 엔드 이펙터.
  10. 제 6 항에 있어서,
    상기 패드들 및 상기 베이스부는 재료의 단일한 단편(piece)으로부터 기계가공되는,
    기판들을 이동시키기 위한 엔드 이펙터.
  11. 제 6 항에 있어서,
    상기 베이스부 및 상기 3개의 패드들은 Ti-도핑된(doped) 알루미나 세라믹으로 구성되는,
    기판들을 이동시키기 위한 엔드 이펙터.
  12. 전자 디바이스 제조 프로세스에서 기판을 이동시키기 위한 방법으로서,
    로봇 아암을 포함하는 기판 이송 로봇을 제공하는 단계;
    상기 로봇 아암 상에 엔드 이펙터를 제공하는 단계;
    상기 엔드 이펙터와 접촉하도록 상기 기판을 배치하는 단계; 및
    상기 로봇 아암을 이동시키는 단계
    를 포함하며,
    상기 엔드 이펙터는 베이스부 및 상기 베이스부 상에 배치된 3개 이상의 패드들을 포함하며, 상기 패드들의 각각은 접촉 표면을 포함하고, 접촉 표면들 중 하나 이상은 만곡형 형상 및 45 μin Ra 내지 65 μin Ra의 조도를 갖는,
    전자 디바이스 제조 프로세스에서 기판을 이동시키기 위한 방법.
  13. 제 12 항에 있어서,
    상기 엔드 이펙터는 적어도 0.13 g의 가속도로 이동하는 동안, ±0.13 ㎜ 이내에서 기판 배치를 유지시키는,
    전자 디바이스 제조 프로세스에서 기판을 이동시키기 위한 방법.
  14. 제 13 항에 있어서,
    상기 엔드 이펙터는 적어도 0.13 g의 가속도로 이동하는 동안, ±0.085 ㎜ 이내에서 기판 배치를 유지시키는,
    전자 디바이스 제조 프로세스에서 기판을 이동시키기 위한 방법.
  15. 제 14 항에 있어서,
    상기 엔드 이펙터는 적어도 0.13 g의 가속도로 이동하는 동안, ±0.02 ㎜ 이내에서 기판 배치를 유지시키는,
    전자 디바이스 제조 프로세스에서 기판을 이동시키기 위한 방법.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
KR1020117018724A 2009-01-11 2010-01-08 기판을 이동시키기 위한 시스템, 장치 및 방법 KR101660241B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14380509P 2009-01-11 2009-01-11
US61/143,805 2009-01-11
PCT/US2010/020503 WO2010081003A2 (en) 2009-01-11 2010-01-08 Systems, apparatus and methods for moving substrates

Publications (2)

Publication Number Publication Date
KR20110104993A KR20110104993A (ko) 2011-09-23
KR101660241B1 true KR101660241B1 (ko) 2016-09-27

Family

ID=42317164

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117018724A KR101660241B1 (ko) 2009-01-11 2010-01-08 기판을 이동시키기 위한 시스템, 장치 및 방법

Country Status (6)

Country Link
US (1) US20100178137A1 (ko)
JP (1) JP5846917B2 (ko)
KR (1) KR101660241B1 (ko)
CN (1) CN102341901B (ko)
TW (1) TWI520822B (ko)
WO (1) WO2010081003A2 (ko)

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5548163B2 (ja) * 2010-09-14 2014-07-16 株式会社日立国際電気 基板搬送機構、基板処理装置および半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
WO2013154863A1 (en) 2012-04-12 2013-10-17 Applied Materials, Inc Robot systems, apparatus, and methods having independently rotatable waists
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
EP2996973B1 (en) 2013-05-17 2019-01-30 Intelligrated Headquarters LLC Robotic carton unloader
US10336562B2 (en) 2013-05-17 2019-07-02 Intelligrated Headquarters, Llc Robotic carton unloader
US9650215B2 (en) 2013-05-17 2017-05-16 Intelligrated Headquarters Llc Robotic carton unloader
US9487361B2 (en) 2013-05-17 2016-11-08 Intelligrated Headquarters Llc Robotic carton unloader
US9315345B2 (en) 2013-08-28 2016-04-19 Intelligrated Headquarters Llc Robotic carton unloader
JP5888287B2 (ja) * 2013-06-26 2016-03-16 株式会社ダイフク 処理設備
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
WO2015017444A1 (en) 2013-07-30 2015-02-05 Intelligrated Headquarters Llc Robotic carton unloader
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
TWI611997B (zh) 2013-09-26 2018-01-21 應用材料股份有限公司 用於傳送基板的氣動端效器設備與基板傳送系統
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP6256909B2 (ja) * 2013-10-21 2018-01-10 株式会社アルバック 基板搬送装置および基板処理装置
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10431489B2 (en) * 2013-12-17 2019-10-01 Applied Materials, Inc. Substrate support apparatus having reduced substrate particle generation
US9370863B2 (en) 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9623569B2 (en) 2014-03-31 2017-04-18 Intelligrated Headquarters, Llc Autonomous truck loader and unloader
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6456065B2 (ja) * 2014-05-16 2019-01-23 日本電産サンキョー株式会社 産業用ロボットのハンドおよび産業用ロボット
US9425076B2 (en) * 2014-07-03 2016-08-23 Applied Materials, Inc. Substrate transfer robot end effector
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10090188B2 (en) 2016-05-05 2018-10-02 Applied Materials, Inc. Robot subassemblies, end effector assemblies, and methods with reduced cracking
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR101882397B1 (ko) * 2016-08-25 2018-07-27 피에스케이 주식회사 반송 로봇 및 이를 가지는 기판 처리 장치
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10597235B2 (en) 2016-10-20 2020-03-24 Intelligrated Headquarters, Llc Carton unloader tool for jam recovery
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US20190013215A1 (en) * 2017-07-05 2019-01-10 Kawasaki Jukogyo Kabushiki Kaisha Substrate holding hand and substrate conveying apparatus including the same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11600580B2 (en) * 2019-02-27 2023-03-07 Applied Materials, Inc. Replaceable end effector contact pads, end effectors, and maintenance methods
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR102556368B1 (ko) * 2020-10-30 2023-07-18 세메스 주식회사 반송 핸드 및 기판 처리 장치
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102424792B1 (ko) * 2021-12-07 2022-07-22 권재천 정전기 방지 기능을 구비한 돔 형태의 건식 패드 구조체

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
US4733632A (en) * 1985-09-25 1988-03-29 Tokyo Electron Limited Wafer feeding apparatus
US4962441A (en) * 1989-04-10 1990-10-09 Applied Materials, Inc. Isolated electrostatic wafer blade clamp
JPH05226452A (ja) * 1992-02-10 1993-09-03 Toshiba Ceramics Co Ltd ウエハ移載用治具
US5711647A (en) * 1994-10-17 1998-01-27 Aesop, Inc. Method of and apparatus for locating and orientating a part on a gripper and transferring it to a tool while maintaining location and orientation on the tool
US6267423B1 (en) * 1995-12-08 2001-07-31 Applied Materials, Inc. End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
US6395363B1 (en) * 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
US5955858A (en) * 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
US6175097B1 (en) * 1997-07-25 2001-01-16 Srimathy Raghavan Ceramic-coated metal guide pin
JPH11121580A (ja) * 1997-10-13 1999-04-30 Hitachi Ltd 板状物体の支持方法及び処理装置
US6095582A (en) * 1998-03-11 2000-08-01 Trusi Technologies, Llc Article holders and holding methods
JP2000260846A (ja) * 1999-03-12 2000-09-22 Kokusai Electric Co Ltd 半導体製造装置
TW543079B (en) * 1999-06-03 2003-07-21 Applied Materials Inc Robot blade for semiconductor processing equipment
US6817640B2 (en) * 2001-06-28 2004-11-16 Applied Materials, Inc. Four-bar linkage wafer clamping mechanism
JP2003077977A (ja) * 2001-09-05 2003-03-14 Canon Inc 基板支持方法および基板搬送用ハンド
US7048316B1 (en) * 2002-07-12 2006-05-23 Novellus Systems, Inc. Compound angled pad end-effector
US7641247B2 (en) * 2002-12-17 2010-01-05 Applied Materials, Inc. End effector assembly for supporting a substrate
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US20060113806A1 (en) * 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
JP4663569B2 (ja) * 2006-03-30 2011-04-06 シャープ株式会社 表面多孔質なハニカム構造体の製造方法
JP4748795B2 (ja) * 2006-04-07 2011-08-17 東京エレクトロン株式会社 基板支持体及び基板搬送機構
US7717481B2 (en) * 2007-01-11 2010-05-18 Applied Materials, Inc. High temperature robot end effector
JP4516089B2 (ja) * 2007-03-30 2010-08-04 アプライド マテリアルズ インコーポレイテッド ウェハ搬送用ブレード
US20090065995A1 (en) * 2007-09-11 2009-03-12 Atmel Corporation Ambidexturous Shuttle Spoon
JP5647148B2 (ja) * 2009-01-11 2014-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板を輸送する静電エンドエフェクタ装置、システム、および方法

Also Published As

Publication number Publication date
TWI520822B (zh) 2016-02-11
KR20110104993A (ko) 2011-09-23
JP2012514873A (ja) 2012-06-28
CN102341901B (zh) 2013-11-06
US20100178137A1 (en) 2010-07-15
CN102341901A (zh) 2012-02-01
JP5846917B2 (ja) 2016-01-20
WO2010081003A2 (en) 2010-07-15
WO2010081003A3 (en) 2010-08-26
TW201032972A (en) 2010-09-16

Similar Documents

Publication Publication Date Title
KR101660241B1 (ko) 기판을 이동시키기 위한 시스템, 장치 및 방법
US20090179366A1 (en) Apparatus for supporting a substrate during semiconductor processing operations
JP6506290B2 (ja) 基板粒子生成が低減する基板支持装置
JP5574553B2 (ja) 基板搬送装置及び保持装置
US8985935B2 (en) Mass damper for semiconductor wafer handling end effector
KR20170026595A (ko) 기판 이송 로봇 엔드 이펙터
TW543079B (en) Robot blade for semiconductor processing equipment
CN107464772B (zh) 晶片支撑及校准设备
US20100144147A1 (en) Sample holding tool, sample suction device using the same and sample processing method using the same
US20120189408A1 (en) Method and apparatus for wafer support
KR20170036165A (ko) 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US20090003979A1 (en) Techniques for handling substrates
US20040238324A1 (en) Wafer carrying apparatus and wafer carrying method
US7237606B2 (en) Wafer supporter
JPH0661331A (ja) 基板搬送装置
JP2004140297A (ja) 半導体ウエハ用搬送トレー
KR101681192B1 (ko) 반송 로봇
JP7465550B2 (ja) 吸着パッド及び基板搬送装置
JPH0523570Y2 (ko)
KR20070033798A (ko) 웨이퍼 이송 로봇의 암 블레이드
CN110890310A (zh) 晶圆承载盘
JP2004172494A (ja) 基板支持装置およびそれを備えた半導体製造装置
JP2009302287A (ja) ウエハ収納キャリア
CN111344854A (zh) 用于极端翘曲晶片的衬底处置设备
KR20010038936A (ko) 파티클 발생을 억제하는 완충수단을 갖는 반도체소자의 제조에 사용되는 설비

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant