CN102341901B - 用于移动基板的系统、设备与方法 - Google Patents

用于移动基板的系统、设备与方法 Download PDF

Info

Publication number
CN102341901B
CN102341901B CN2010800108321A CN201080010832A CN102341901B CN 102341901 B CN102341901 B CN 102341901B CN 2010800108321 A CN2010800108321 A CN 2010800108321A CN 201080010832 A CN201080010832 A CN 201080010832A CN 102341901 B CN102341901 B CN 102341901B
Authority
CN
China
Prior art keywords
end effector
substrate
base part
pad
contact surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2010800108321A
Other languages
English (en)
Other versions
CN102341901A (zh
Inventor
P·R·琴恩塔拉帕蒂
S·桑德
B·阿莱克斯洛德
M·D·塞法堤
T·K·赵
J·A·布洛迪尼
J·K·福斯特
E·吴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102341901A publication Critical patent/CN102341901A/zh
Application granted granted Critical
Publication of CN102341901B publication Critical patent/CN102341901B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Devices For Indicating Variable Information By Combining Individual Elements (AREA)

Abstract

提供了用于在电子元件制造中移动基板的系统、方法及设备。在一些方面中,提供了具有基座部分及至少三个垫的末端执行器。每个所述垫的具有一个接触表面,且至少一个接触表面具有曲面形状。由末端执行器所支撑的基板可以相对高的横向惯性力移动,而不会相对于垫产生大幅度滑动。本发明还提供了附加的方面。

Description

用于移动基板的系统、设备与方法
相关申请
本申请要求美国临时申请第61/143,805号的优先权,其申请日为2009年1月11日,专利名称为“移动基板的系统、设备与方法(SYSTEMS,APPARATUSAND METHODS FOR MOVING SUBSTRATES)”,在此为所有目的将其整体并入本文以为参考。
发明领域
本发明涉及电子元件制造,且更特定地涉及用于移动基板的系统、设备及方法。
发明背景
在电子元件制造中,可以藉由机械装置(包括机械手(robot))而将基板(例如:硅晶片、玻璃板等)在制造设施周围及制造设备内移动。机械装置可藉由末端执行器(end effector)而与基板接触。末端执行器是制造制程中的一个重要的部件,因为当小心地移动基板时,可以增进任何最终产品的品质。
发明内容
在第一方面中,提供一种用于在电子元件制造制程中移动基板的系统。该系统包括:机械手(robot),用于移动基板,其中该机械手包括末端执行器(endeffector)。该末端执行器包括:基座部分,以及设置在该基座部分上的至少三个垫,其中每个所述垫包括一接触表面,且至少一接触表面具有曲面形状以及约45Ra~约65Ra的粗糙度。
在另一方面中,提供一种用于移动基板的末端执行器。该末端执行器包括:基座部分;以及设置在该基座部分上的三个垫,其中每个所述垫具有一接触表面,且所述接触表面的至少其中之一具有曲面形状。
在另一方面中,提供一种用于移动基板的末端执行器。该末端执行器包括:基座部分,包括钛掺杂(Ti-doped)氧化铝陶瓷;设置在基座部分上的三个垫,所述三个垫包括钛掺杂氧化铝陶瓷;以及位于所述三个垫的每一个上的接触表面,其中每个所述接触表面具有曲率半径为约0.64mm~约9.53mm的曲面形状,且具有约45Ra~约65Ra的粗糙度。
在另一方面中,提供一种用于移动基板的末端执行器。该末端执行器包括:基座部分;以及设置在该基座部分上的至少三个垫,其中每个所述垫具有一接触表面,且所述接触表面的至少其中之一具有曲面形状,以及约45Ra~约65Ra的粗糙度。
在方法方面中,提供一种用于在电子元件制造制程中移动基板的方法。该方法包括:提供基板运载机械手,该机械手包括机械手臂;在该机械手臂上设置末端执行器,该末端执行器包括基座部分以及设置在其上的至少三个垫,其中每个所述垫包括一接触表面,且所述接触表面的至少其中之一具有曲面形状,以及约45Ra~约65Ra的粗糙度;放置该基板而与该末端执行器接触;以及移动该机械手臂。
由以下的详细说明、所附权利要求以及附图,本发明的其他特征及方面将得以充分显现。
附图的简单说明
图1为根据本发明的实施例所提供的示例性电子元件制造处理工具的概要平面顶视图。
图2为根据本发明的实施例所提供的示例性末端执行器的立体视图。
图2a为根据本发明的实施例所提供的图2的示例性末端执行器的侧视图。
图3为根据本发明的实施例所提供的另一示例性末端执行器的立体视图。
图3a为根据本发明的实施例所提供的图3的示例性末端执行器的侧视图。
图4为根据本发明的实施例所提供的末端执行器的部分放大剖面侧视图,该末端执行器具有设置在基座部分上的示例性垫。
图5为根据本发明的实施例所提供的另一末端执行器的部分放大剖面侧视图,该另一末端执行器具有设置在基座部分上的示例性垫。
图6为根据本发明的实施例所提供的与示例性垫接触的基板的侧视图。
图6a为根据本发明的实施例所提供的与示例性垫接触的弓形基板的侧视图。
图7为根据本发明的实施例所提供的用于移动基板的示例性方法的流程图。
图8为采用400μm弓形半导体晶片的基板(晶片)放置测试的结果的图形表示。
图9为采用150μm弓形半导体晶片的基板(晶片)放置测试的结果的图形表示。
图10为采用倒置(inverted)半导体晶片的基板(晶片)放置测试的结果的图形表示。
图11为采用在支撑晶片的垫上放置硅粉尘(dust)之后才移动的半导体晶片的基板(晶片)放置测试的结果的图形表示。
具体实施方式
在电子元件制造中,基板(例如:硅晶片、玻璃板等)通常藉由机械手装置而移动通过数个制造步骤。快速地移动基板可以增加生产量,并因此降低制造成本。然而,即使在完成基板之前,这些基板都具有极高价值。因此,当基板移动通过制造步骤时,必须十分小心,以避免基板掉落或甚至伤害到基板。另外,在基板上的微粒可能会使得基板的制造复杂化。除此之外,当基板在表面上滑动时,亦会使得微粒的产生增加。因此,较佳的是使得基板的滑动最小化。
本发明的实施例包括一末端执行器,其具有相对防滑(的特性。末端执行器包括基座部分,且该基座部分具有至少三个垫设置于其上。每个垫具有一可在其上放置基板的接触表面,且至少一个接触表面为曲面的(curved)。基板可放置为与垫接触,并可藉由末端执行器而例如移动进出各个制造步骤或位置。在一些实施例中,一或多个垫具有具特定表面粗糙度的接触表面,其可进一步减少基板滑动的可能性。此外,垫可采一配置而设置在基座部分上,而此配置可有助于末端执行器的非滑动特性。因此,有利地,基板可以相对快速地移动,并且伴随着:由末端执行器上掉落的可能性降低、滑动(其导致更具重复性及更精确的基板放置)最小化、及/或微粒产生的最小化。在一方面中,末端执行器可以容纳各种基板,包括具有非完整形状(例如:弓形)的基板。
系统、设备及方法的这些与其它实施例参照第1-11图而描述如下。
图1例示根据本发明的实施例所提供的示例性电子元件处理工具100。参照图1,处理工具100可包括数个耦接至传送腔室104的处理腔室102。传送腔室104可容纳传送腔室(TC)机械手106。TC机械手106可具有第一臂108,该第一臂108在第一连接部(linkage)112连接至机械手基座110,并在第二连接部116连接至第二臂114。末端执行器118(于视图中为部分隐藏)可于第二连接部116的远端附接至第二臂114。末端执行器118可接触(例如:运载)基板120(例如:半导体晶片、玻璃板等)。
处理工具100的传送腔室104可以透过加载锁定腔室122而连接至工厂界面124。工厂界面124可容纳工厂界面(FI)机械手126。FI机械手126具有第一臂128,该第一臂128在第一连接部132连接至机械手基座130,并在第二连接部136连接至第二臂134。末端执行器138(于视图中为部分隐藏)可于第二连接部136的远端附接至第二臂134。末端执行器138可接触(例如:运载)基板140。
FI机械手126可位于轨道(图中未示)上,此轨道允许FI机械手126沿着X方向而在平行于无尘室壁142的路径中往复移动。工厂界面124可相邻于无尘室壁的第一侧144。
基板载具146可为可拆卸的,且可移除地连接至无尘室壁的第二侧148,基板载具146并可透过无尘室壁中的开口(图中未示)而与工厂界面的内部空间150连接。在处理腔室102、加载锁定腔室122及基板载具146中以虚线显示了可能的基板位置152。
处理工具100可耦接至控制器154。控制器154可控制基板的移动及处理。控制器154可例如包括中央处理单元(CPU)156、支援电路158及存储器160。CPU156可为可以用于控制各种腔室及次处理器(subprocessor)的工业设定中的任何形式电脑处理器。存储器160可耦接至CPU156。存储器160可为电脑可读取媒体,并且可为一或多种易于获得的存储器,例如:本地或远端的,随机存取存储器(RAM)、只读存储器(ROM)、软磁盘、硬磁盘或是任何其他形式的数字储存器。支援电路158可耦接至CPU156,并以任何常规方式支援CPU156。支援电路158可以包括高速缓存(cache)、电源供应、时钟电路、输入/输出电路、子系统及类似者。
处理工具可设置成各种配置,且在不同配置中可使用各种机械手,例如SCARA机械手、四连杆(4-link)机械手等。各个机械手具有用于接触基板的至少一个(但也可具有二个或更多个)末端执行器(有时称之为叶片(blade))。末端执行器可例如为:重力末端执行器、真空末端执行器及/或静电末端执行器。传送腔室内部空间162及/或处理腔室内部空间164可保持在非常低的压力或真空下。真空末端执行器可能不一定总是适用在这些环境中,因为产生压差而将基板附着至末端执行器或许是困难或是不可能的。因此,例如重力末端执行器可能至少特别适用在低压或真空环境中。
在操作中,TC机械手106可经过设置而使得在第一连接部112和第二连接部116的旋转相组合可以将第二臂114及末端执行器118定位并延伸至期望位置。TC机械手106可例如在处理腔室102及加载锁定腔室122之间或是在不同处理腔室102之间移动基板。以相似的方式,FI机械手126亦可经过设置而使得在第一连接部132和第二连接部136的旋转相组合可以将第二臂134及末端执行器138定位并延伸至期望位置。FI机械手126可例如在加载锁定腔室122和基板载具146之间移动基板。为此,FI机械手可在X方向沿着轨道(图中未示)而往复移动,而使得FI机械手126可进入数个基板载具146。
随着制造制程的进展,协同运作的FI机械手126及TC机械手106可在基板载具146与处理腔室102之间移动基板。处理腔室102中可以进行各种电子元件制造制程,例如:半导体元件制造制程,举例为氧化、薄膜沉积、蚀刻、热处理、除气、冷却等。
期望能够尽可能地快速移动基板以加速制造制程,因而降低制造成本。然而,当藉由FI机械手126及/或TC机械手106(或是藉由在此未讨论或是未例示于图1中的其他机械手)而移动基板时,随着末端执行器的相对快速加速及减速的惯性力(g-force)的增加,则基板在一或多个末端执行器118、138上的滑动的可能性也增加。重力末端执行器特别可能发生滑动现象。滑动可能造成基板从末端执行器掉落,而在重新取得基板的同时会因此使得系统操作延迟。基板的掉落可能会延缓制造制程,并可能亦会导致受损基板。因此,期望使用能够降低基板滑动的可能性的末端执行器,以至少防止基板从末端执行器掉落。
另外,即使基板并未从末端执行器上掉落,在末端执行器上的滑动亦可能对制造制程造成负面影响。举例来说,当基板在末端执行器上滑动时,除了别的效应外,基板的面向末端执行器的一侧(即,基板的背侧)可能会积聚微粒(即,背侧微粒)(微粒亦可称之为“adder”)。举例来说,滑动可能会刮伤基板表面而形成微粒,而微粒会附着至基板的背侧。微粒自有其方法而移动到基板的侧面。此外,不期望让基板被刮伤,因为单单是刮伤就会降低任何最终产品的品质。再者,微粒的产生通常是有害的,因为其他基板可能会因此受到污染。又,滑动可能造成基板在处理腔室中的不适当定位,因而可能造成不适当的处理。
由于可以藉由降低或消除基板的滑动而降低或消除背侧微粒及/或基板刮伤,故有助降低或消除滑动的末端执行器对于电子元件制造来说是高度有利的。更特定的说,降低或消除基板滑动是有利的,藉此,基板在经历相对高的惯性力的同时,不会积聚背侧微粒及/或被刮伤或是以其它方式受损。允许基板经历相对高的惯性力使得制造步骤以较低的制程循环时间进行,因而使得总系统生产量增加。
末端执行器能容纳各种形状的基板也是重要的。举例来说,虽然大多数的基板是平坦的或是基本平坦的,但在部分实例中基板可能是弓形的(例如凹状或凸状)。基板的形状可能会影响基板如何接触末端执行器以及基板接触末端执行器的位置,因而会影响基板滑动的可能性。再者,基板可能至少基于基板成分等而有差别地滑动。另外,在制造环境中,各种微粒(例如硅树脂灰;siliconedust)可能会沉积在末端执行器上。这些微粒可能会使得基板滑动的可能性增加。
图2例示末端执行器200的示例性实施例。末端执行器200可包括基座部分202,该基座部分202具有设置在其上的第一垫204、第二垫206及第三垫208。基座部分202可包括基座部分近端210以及基座部分远端212。当在使用末端执行器200时,近端210最接近于机械手臂(图中未示)或是附接至机械手臂。末端执行器200可经配置而使其可藉由例如螺钉、螺栓、夹钳件或类似者而固定至机械手臂。每个垫204、206、208可具有一个接触表面214,当基板放置为与末端执行器200接触时,该接触表面214可适于接触基板(图中未示)。第一垫204、第二垫206及第三垫208的一个或多个可例如具有呈曲面形状的接触表面214。基座部分202还可具有设置于其上的护轨(guard rail)216,以进一步确保基板不会从末端执行器200滑落。
基座部分202可被成形为使得接触垫204、206、208的基板可以藉由销(pin)(图中未示)而被升举离开末端执行器200。举例来说,销可相对于末端执行器200而升高,或是当销保持不动时,末端执行器200可降低,或者是销及末端执行器200可同时移动。A、B及C指示例如当基板在销上放置就位时,例如销可处在的位置。基座部分远端212可以被成形而使得销在例如位置A可以例如相对于末端执行器200而升高。举例来说,远端212可以如图所示地具有凹口。
第一垫204及第二垫206可以彼此分隔得相对较远(分隔到考虑基座部分202的尺寸而所允许的程度)。第一垫204可设置为相对接近于基座部分第一边缘218,并且相对接近于基座部分远端212。第二垫206可设置为相对接近于基座部分第二边缘220,并且相对接近于基座部分远端212。相较于第一垫204与第二垫206,第三垫208可设置为相对较接近于基座部分近端210,并且大致位于基座部分第一边缘218与基座部分第二边缘220之间的中间点。
图2a显示出图2所示的末端执行器200的侧视图(但不包括护轨)。垫206、208及204(垫204并未示于图2a中)可以设置在基座部分202上,藉此,垫与(放置为与末端执行器接触的)基板接触。
图3描述末端执行器300的另一示例性实施例。如同图2中所示的末端执行器一样,图3所示的末端执行器300可包括基座部分302及设置于其上的第一垫304、第二垫306及第三垫308。每个垫可具有一接触表面310。垫304、306、308设置的方式可类似于图2中所示的实施例。第一护轨312及第二护轨314皆设置在基座部分远端316,并且可比图2所示实施例中的护轨相对更大。护轨312、314可由基座部分302的高起(raised)区域构成。亦由基座部分302的高起区域构成的第三护轨318可设置为较第三垫308更为接近于基座部分近端320。护轨312、314、318的一或多者可在末端执行器300的水平面上呈圆形(rounded),藉此,这些护轨近似于基板的圆周的圆形形状。
图3a显示出图3所示的末端执行器300的侧视图。此视图显示出第二护轨314及第三护轨318为基座部分302的高起部分。垫306、308及304(垫304并未示于图3a中)可以设置在基座部分302上,藉此,垫与(设置为与末端执行器接触的)基板接触。
图4显示末端执行器的部分放大剖面侧视图,此末端执行器具有设置在基座部分402上的示例性垫400。垫400具有可接触基板(图中未示)的接触表面404。接触表面404可为曲面的。本实施例的接触表面404可具有曲率半径(R)(radius of curvature)为约0.375英寸(9.53mm)。接触表面404的粗糙度可为约45Ra~约65Ra(基于ASME Y14.36M-1996标准)。由基座部分402量测至垫接触表面404的最高点所得的垫400的高度(h)可例如为约0.075英寸(1.9mm)。垫400可具有足够高度(h),藉此,除了平坦基板以外,弓形的基板可以与数个垫接触,而不与基座部分402接触。如下所讨论者,曲面的接触表面404可确保无论是平坦或是弓形的基板都可以与接触表面404有稳定的接触。垫的直径可以为约0.313英寸(7.95mm)。在图4所示的实施例中,垫400与基座部分402为单一材料件(piece),也就是说,垫400与基座部分402由相同的材料件而机械加工制成。
图5显示末端执行器的部分放大剖面侧视图,其具有设置在基座部分502上的示例性垫500。垫500具有可接触基板(图中未示)的接触表面504。接触表面504可为曲面的,并可具有曲率半径(R)为约0.025英寸(0.64mm)。接触表面504的粗糙度可为约45Ra~约65Ra。由基座部分502量测至垫接触表面504的最高点所得的垫500的高度(h)可例如为约0.075英寸(1.9mm)。垫500可具有足够高度,藉此,除了平坦基板以外,弓形的基板可以与数个垫接触,而不与基座部分502接触。如下所讨论者,曲面的接触表面504可确保无论是平坦或是弓形的基板都可以与接触表面504有稳定的接触。垫的直径可为约0.313英寸(7.95mm)。在图5所示的实施例中,垫500与基座部分502为分开制造,之后,再例如利用粘着剂(如:环氧树脂)及/或螺钉或螺栓而将垫500固定至基座部分502。
图6显示设置在基座部分602上的两个示例性垫600。每个垫600具有一接触表面604,该接触表面604接触基本平坦的基板606。图6a显示弓形基板608,其与图6所示的相同示例性垫600的接触表面604相接触。图6a显示出曲面接触表面604即使与弓形基板608一起使用仍提供相对良好的接触。
在图6a中,相较于弓形基板外部部分612,弓形基板中央部分610相对更为接近于基座部分602。因此,弓形基板608与接触表面内部部分614接触。若(图中未示)相较于弓形基板外部部分612,弓形基板中央部分610相对更为远离基座部分602,则弓形基板608将与接触表面外部部分616接触。
在一些实施例中,末端执行器可由基座部分与设置于其上的至少三个垫所构成。每个垫可具有一接触表面,且至少一个垫上的至少一个接触表面可具有曲面形状。当从至少一个侧角观看时(例如参见第4及5图),具有曲面形状的垫可具有凸状轮廓。在一些实施例中,接触表面可具有凸状曲面形状,从一或多个侧角或甚至所有侧角观看,该凸状曲面形状是对称的。举例来说,接触表面具有对称的曲面形状,其赋予接触表面一个对称的凸状外表,也就是说,当从任何角度观看时,其呈圆顶状。然而,接触表面可为不对称的。任何接触表面可在接触表面的不同点以不同的曲率半径弯曲,意即,接触表面可在一或多个位置处为弯曲的,或是在接触表面的整个表面上均匀地或非均匀地弯曲。当基板放置为与末端执行器接触时,至少一垫具有与基板接触的曲面表面。垫和/或垫接触表面可例如具有大致圆柱状、立方体状、圆锥状或其他形状。各个垫可具有不同形状,或者每个垫的形状近似于其他垫的形状。
末端执行器可具有设置在基座部分上的:仅三个垫、大于三个垫(例如四个垫)、或大于四个垫。在具有三个垫的实施例中,垫可以如第2及3图而设置,但并不必须为如此。在具有四或多个垫的实施例中,两个垫可设置在基座部分的近端而彼此分隔相对远,此设置方式类似于基座部分远端212上所示的垫的设置方式(参照第2图)。
基座部分和/或一个或多个垫和/或一个或多个护轨可例如由具有相对低导热性、相对高的刚性重量比(stiffness to weight ratio)及相对低的热膨胀系数的材料构成。基座部分和/或一个或多个垫和/或一个或多个护轨可例如由一材料构成,该材料具有:约3.96g/cc的密度和/或约370GPa的弹性模数和/或约7.4μm/m-℃的热膨胀系数和/或约2000℃的操作温度限值。
举例来说,末端执行器可具有:约0.44磅(0.2kg)~约0.53磅(0.24kg)的重量,和/或约0.013英寸(0.33mm)~约0.015英寸(0.38mm)的下垂度(droop)(末端执行器在自身重量下在其末端的偏斜),和/或约47.9Hz~约49.3Hz的第一固有频率(natural frequency)。
基座部分和/或一个或多个垫和/或一个或多个护栏可由导电性材料形成,藉以预防电弧并提供放电的接地路径。举例来说,基座部分和/或一个或多个垫和/或一个或多个护轨可由例如不锈钢、氧化铝、镍镀铝或其类似者构成。基座部分和/或一个或多个垫和/或一个或多个护轨可由陶瓷形成,例如:氧化锆、碳化硅或钛掺杂(Ti-doped)陶瓷。基座部分和/或一个或多个垫和/或一个或多个护轨可由以约99.5%氧化铝制成的钛掺杂陶瓷所形成。在一些实施例中,基座部分和/或一个或多个垫和/或一个或多个护轨可由具有约1×106~约1×1013ohms/cm的表面电阻的材料形成。基座部分和/或一个或多个垫和/或一个或多个护轨可由相同材料或不同材料制成。
在一些实施例中,基座部分和/或一个或多个垫和/或一个或多个护轨可用来自一个材料件(piece)(例如:单一块材料)的基座部分而机械加工。因此,举例来说,基座部分、所有的垫及所有的护轨可机械加工为一个整体材料件。在其他实施例中,设置在基座部分上的一个或多个垫和/或设置在基座部分上的一个或多个护轨可以为分开制造,并利用例如粘着剂(如:环氧树脂)和/或一个或多个螺钉、压入配合(press fit)等而固定至基座部分。
在一些实施例中,可将垫彼此相对远地散布开,以提供对于基板的表面积而言是足够的垫间距离。垫可以定位为,例如,使得两个或更多个垫定位朝向基座部分远端,且一个或更多个垫定位朝向基座部分近端(见第2图)。垫可以定位为,例如,使得两个或多个垫定位朝向基座部分近端,及一个或多个垫定位朝向基座部分远端。末端执行器可以包括护轨,但并不必须包括之。
基座部分可以由大于一个材料件所制成,或是可以为一个整体材料件。在基座部分为大于一个材料件的情况下,基座部分的各个件可不包含有垫,或是含有一个或多个垫,而基座部分的各个件可以由与基座部分的其他件、和/或一个或多个垫、和/或一个或多个护轨相同的材料或是不同的材料制成。
本发明的实施例可以用于重力末端执行器、真空末端执行器和/或电气性末端执行器(例如静电末端执行器)。
在本发明的一些实施例中,垫可具有曲率半径(R)(参照第4及5图)例如为约0.025英寸(0.64mm)~0.375英寸(9.53mm)的接触表面。至少取决于基板形状及接触表面形状,基板可在垫接触表面上的不同位置处接触一个或多个垫。
在本发明的实施例中,一个或多个垫接触表面的表面粗糙度为约45Ra~约65Ra。一个或多个垫的高度(h)(参照第4及5图)例如为约0.050英寸(1.3mm)~约0.1英寸(3mm)。一个或多个垫的高度为约0.075英寸(1.9mm)。各个垫的高度(h)可以与设置在基座部分上的其他垫之高度相同或不同。在一些实施例中,各个垫的高度足以避免弓形基板接触末端执行器的基座部分。任何垫,包括垫接触表面在内,可例如由一均质或基本均质的材料构成。一个或多个垫的直径可为约0.2~约0.5英寸,且在一些实施例中为约0.313英寸。
基板可以搁在,或是被放置在(即,放置在末端执行器的顶侧上)末端执行器上,并藉由重力而保持在原位。然而,本发明的实施例可以包括静电、真空或其他类型的末端执行器,其可利用除了重力以外的方式而接触并附着至基板。因此,本发明的实施例的应用包括末端执行器接触基板的顶侧,而非接触基板的底部或背侧的情况。举例来说,基板可定位在与其接触的末端执行器下方(基板与末端执行器接触)。
在操作中,基板可放置成与末端执行器接触,从而基板与垫接触表面接触。在一些情况中,弓形基板放置成与末端执行器接触,从而基板接触该垫接触表面。末端执行器以相对高的惯性力加速和/或减速,基板将不会滑动,或者将仅滑动相对微小的距离。因此,来自滑动(会造成刮伤或是造成基板从末端执行器掉落)而对基板造成的任何伤害大幅度地降低。由于滑动现象降低,来自垫和/或基板的微粒产生及积聚亦可减少。
在一些实施例中,末端执行器可在以0.13g的加速度移动时,维持基板的放置在约±0.005英寸(0.13mm)之内、或是在约±0.0044英寸(0.11mm)之内、或甚至在±0.00335英寸(0.085mm)之内。在又一实施例中,末端执行器可在以0.13g的加速度移动时,维持基板的放置在约±0.0029英寸(0.074mm)之内,或甚至±0.0009英寸(0.02mm)之内。
图7为利用配备有本发明的末端执行器的机械手以移动基板的制造方法的示例性流程图。根据方法700,在步骤702中,提供配置有适于运载基板的臂的机械手。在步骤704,通过适当的附接方法而在机械手臂上设置本发明的末端执行器,该末端执行器具有至少一个垫,该垫包括具有曲面形状的接触表面。该垫可进一步包括如上所述的表面粗糙度。在步骤706中,基板放置成与末端执行器的垫接触。在步骤708,机械手臂移动,藉此,末端执行器与接触该末端执行器的基板亦移动。如上所述的制程可以重复任意次,次数随末端执行器与基板而变化。
图8-11例示出用本发明的末端执行器以0.13G移动基板时,与期望放置位置的放置偏差的数据的多个图表。所有的测试皆以钛掺杂的99.5%氧化铝陶瓷末端执行器来进行,该末端执行器具有由相同陶瓷材料构成的圆顶状垫。
在图8中所测试的基板为高度弓形晶片,其具有约400微米的压缩性弓度(compressive bow)。图8说明在0.13g的横向加速下,在近500次循环中,有+/-2.9密尔(mils)的最大放置偏差(以英寸计)。因此,此图表显示出,包括圆顶状垫的本发明在相对高g的条件下,在控制弓形基板的放置偏差来说是非常有效的。
图9例示由末端执行器运载的基板,该基板为弓形程度较少的硅晶片,其具有约150微米的拉伸弓度(tensile bow)。图9说明在0.13g的横向加速下,在近250次循环中,有+/-2.9密尔(mils)的最大放置偏差(以英寸计)。此图表显示出,包括圆顶状垫的本发明在相对高g的条件下,甚至在控制拉伸弓形的晶片的放置偏差方面是非常有效的。
图10例示基板的测试数据,该基板为具有低摩擦表面条件(μ=0.11~0.13)的弓形硅晶片。图10说明在0.13g之横向加速下,在近450次循环中,有+/-4.4密尔(mils)的最大放置偏差(以英寸计)。此图表显示出,包括圆顶状垫的本发明在相对高g的条件下,甚至在控制低摩擦晶片的放置偏差方面是非常有效的。
图11例示为硅晶片的基板的测试数据,向各个垫大量喷洒硅粉尘(silicondust)以模拟可能的使用中情况。图11说明在0.13g之横向加速下,在近550次循环中,有+/-3.35密尔(mils)的最大放置偏差(以英寸计)。此图表显示出,包括圆顶状垫的本发明在相对高g的条件下,甚至当垫暴露于硅粉尘时,在控制放置偏差方面是非常有效的。
上述说明仅揭示本发明的示例性实施例,对于以上揭示系统、设备及方法的修改,只要落入本发明的范畴内,对于该技术领域人士来说是明显的。举例来说,精确的垫放置,以及垫的使用数量,在本发明的不同实施例中是可以改变的。
因此,虽然本发明已以示例性实施例揭露如上,但应理解的是,其它实施例可落入如所附权利要求所限定的本发明的精神和范围之中。

Claims (18)

1.一种用于在电子元件制造制程中移动基板的系统,包括:
机械手,用于移动基板,该机械手包括末端执行器,且该末端执行器包括:
基座部分,以及
至少三个垫,其设置在所述基座部分上,其中每个所述垫包括一个接触表面,且至少一个接触表面具有曲面形状以及约45Ra~约65Ra的粗糙度。
2.如权利要求1所述的系统,其特征在于,实质上由三个垫组成。
3.如权利要求1所述的系统,其特征在于,包括四个垫。
4.如权利要求1所述的系统,其特征在于,所述至少一个接触表面的曲率半径为约0.64mm~约9.53mm。
5.如权利要求1所述的系统,其中所述基座部分与至少一个所述垫由导电性材料构成。
6.一种用于移动基板的末端执行器,包括:
基座部分;以及
三个垫,其设置在所述基座部分上,其中每个所述垫具有一个接触表面,且所述至少一个接触表面具有约45Ra~约65Ra的粗糙度。
7.如权利要求6所述的末端执行器,其特征在于,所述至少一个接触表面具有曲面形状以及约0.64mm~约9.53mm的曲率半径。
8.如权利要求6所述的末端执行器,其特征在于,至少一个垫由导电性材料构成。
9.如权利要求6所述的末端执行器,其中所述垫与所述基座部分由单个材料件机械加工而成。
10.一种用于移动基板的末端执行器,包括:
基座部分,其由钛掺杂氧化铝陶瓷构成;以及
三个垫,其由钛掺杂氧化铝陶瓷构成并设置在所述基座部分上,其中所述三个垫中的每一个包括一个具有曲面形状的接触表面,且该接触表面的曲率半径为约0.64mm~约9.53mm,粗糙度为约45Ra~约65Ra。
11.一种用于移动基板的末端执行器,包括:
基座部分;以及
至少三个垫,其设置在该基座部分上,其中每个所述垫具有一个接触表面,且所述至少一个接触表面具有曲面形状以及约45Ra~约65Ra的粗糙度。
12.如权利要求11所述的末端执行器,其特征在于,所述具有曲面形状的接触表面的曲率半径为约0.64mm~约9.53mm。
13.如权利要求11所述的末端执行器,其特征在于,至少一个垫由导电性材料构成。
14.如权利要求11所述的末端执行器,其特征在于,所述垫与所述基座部分由单个材料件机械加工而成。
15.一种用于在电子元件制造制程中移动基板的方法,包括:
提供基板运载机械手,该机械手包括机械手臂;
在所述机械手臂上设置末端执行器,该末端执行器包括基座部分以及设置在其上的至少三个垫,其中每个所述垫包括一个接触表面,且所述至少一个接触表面具有曲面形状以及约45Ra~约65Ra的粗糙度;
放置所述基板以和所述末端执行器接触;以及
移动所述机械手臂。
16.如权利要求15所述的方法,其特征在于,所述末端执行器在以0.13g的加速度运动时,维持基板放置在±0.13mm之内。
17.如权利要求16所述的方法,其特征在于,所述末端执行器在以0.13g的加速度运动时,维持基板放置在±0.085mm之内。
18.如权利要求17所述的方法,其特征在于,所述末端执行器在以0.13g的加速度运动时,维持基板放置在±0.02mm之内。
CN2010800108321A 2009-01-11 2010-01-08 用于移动基板的系统、设备与方法 Active CN102341901B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14380509P 2009-01-11 2009-01-11
US61/143,805 2009-01-11
PCT/US2010/020503 WO2010081003A2 (en) 2009-01-11 2010-01-08 Systems, apparatus and methods for moving substrates

Publications (2)

Publication Number Publication Date
CN102341901A CN102341901A (zh) 2012-02-01
CN102341901B true CN102341901B (zh) 2013-11-06

Family

ID=42317164

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010800108321A Active CN102341901B (zh) 2009-01-11 2010-01-08 用于移动基板的系统、设备与方法

Country Status (6)

Country Link
US (1) US20100178137A1 (zh)
JP (1) JP5846917B2 (zh)
KR (1) KR101660241B1 (zh)
CN (1) CN102341901B (zh)
TW (1) TWI520822B (zh)
WO (1) WO2010081003A2 (zh)

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5548163B2 (ja) * 2010-09-14 2014-07-16 株式会社日立国際電気 基板搬送機構、基板処理装置および半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN104380452B (zh) 2012-04-12 2016-10-19 应用材料公司 具有独立能旋转机身中段的机械手系统、设备及方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN105473474B (zh) 2013-05-17 2018-01-23 因特利格兰特总部有限责任公司 机器人纸箱卸载机
US9487361B2 (en) 2013-05-17 2016-11-08 Intelligrated Headquarters Llc Robotic carton unloader
US9650215B2 (en) 2013-05-17 2017-05-16 Intelligrated Headquarters Llc Robotic carton unloader
JP5888287B2 (ja) * 2013-06-26 2016-03-16 株式会社ダイフク 処理設備
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
WO2015017444A1 (en) 2013-07-30 2015-02-05 Intelligrated Headquarters Llc Robotic carton unloader
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
WO2015031668A1 (en) 2013-08-28 2015-03-05 Intelligrated Headquarters Llc Robotic carton unloader
CN105556654B (zh) 2013-09-26 2019-07-26 应用材料公司 用于传送基板的气动终端受动器装置、基板传送系统与方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP6256909B2 (ja) * 2013-10-21 2018-01-10 株式会社アルバック 基板搬送装置および基板処理装置
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10431489B2 (en) * 2013-12-17 2019-10-01 Applied Materials, Inc. Substrate support apparatus having reduced substrate particle generation
US9370863B2 (en) 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9623569B2 (en) 2014-03-31 2017-04-18 Intelligrated Headquarters, Llc Autonomous truck loader and unloader
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6456065B2 (ja) * 2014-05-16 2019-01-23 日本電産サンキョー株式会社 産業用ロボットのハンドおよび産業用ロボット
US9425076B2 (en) * 2014-07-03 2016-08-23 Applied Materials, Inc. Substrate transfer robot end effector
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10090188B2 (en) 2016-05-05 2018-10-02 Applied Materials, Inc. Robot subassemblies, end effector assemblies, and methods with reduced cracking
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR101882397B1 (ko) * 2016-08-25 2018-07-27 피에스케이 주식회사 반송 로봇 및 이를 가지는 기판 처리 장치
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
DE112017004070B4 (de) 2016-09-14 2022-04-28 Intelligrated Headquarters, Llc Roboterkartonentlader
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10597235B2 (en) 2016-10-20 2020-03-24 Intelligrated Headquarters, Llc Carton unloader tool for jam recovery
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US20190013215A1 (en) * 2017-07-05 2019-01-10 Kawasaki Jukogyo Kabushiki Kaisha Substrate holding hand and substrate conveying apparatus including the same
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11600580B2 (en) * 2019-02-27 2023-03-07 Applied Materials, Inc. Replaceable end effector contact pads, end effectors, and maintenance methods
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR102556368B1 (ko) * 2020-10-30 2023-07-18 세메스 주식회사 반송 핸드 및 기판 처리 장치
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102424792B1 (ko) * 2021-12-07 2022-07-22 권재천 정전기 방지 기능을 구비한 돔 형태의 건식 패드 구조체

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1063683A2 (en) * 1999-06-03 2000-12-27 Applied Materials, Inc. Robot blade for semiconductor processing equipment
JP2007281254A (ja) * 2006-04-07 2007-10-25 Tokyo Electron Ltd 基板支持体及び基板搬送機構

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
US4733632A (en) * 1985-09-25 1988-03-29 Tokyo Electron Limited Wafer feeding apparatus
US4962441A (en) * 1989-04-10 1990-10-09 Applied Materials, Inc. Isolated electrostatic wafer blade clamp
JPH05226452A (ja) * 1992-02-10 1993-09-03 Toshiba Ceramics Co Ltd ウエハ移載用治具
US5711647A (en) * 1994-10-17 1998-01-27 Aesop, Inc. Method of and apparatus for locating and orientating a part on a gripper and transferring it to a tool while maintaining location and orientation on the tool
US6267423B1 (en) * 1995-12-08 2001-07-31 Applied Materials, Inc. End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
US6395363B1 (en) * 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
US5955858A (en) * 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
US6175097B1 (en) * 1997-07-25 2001-01-16 Srimathy Raghavan Ceramic-coated metal guide pin
JPH11121580A (ja) * 1997-10-13 1999-04-30 Hitachi Ltd 板状物体の支持方法及び処理装置
US6095582A (en) * 1998-03-11 2000-08-01 Trusi Technologies, Llc Article holders and holding methods
JP2000260846A (ja) * 1999-03-12 2000-09-22 Kokusai Electric Co Ltd 半導体製造装置
US6817640B2 (en) * 2001-06-28 2004-11-16 Applied Materials, Inc. Four-bar linkage wafer clamping mechanism
JP2003077977A (ja) * 2001-09-05 2003-03-14 Canon Inc 基板支持方法および基板搬送用ハンド
US7048316B1 (en) * 2002-07-12 2006-05-23 Novellus Systems, Inc. Compound angled pad end-effector
US7641247B2 (en) * 2002-12-17 2010-01-05 Applied Materials, Inc. End effector assembly for supporting a substrate
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US20060113806A1 (en) * 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
JP4663569B2 (ja) * 2006-03-30 2011-04-06 シャープ株式会社 表面多孔質なハニカム構造体の製造方法
US7717481B2 (en) * 2007-01-11 2010-05-18 Applied Materials, Inc. High temperature robot end effector
JP4516089B2 (ja) * 2007-03-30 2010-08-04 アプライド マテリアルズ インコーポレイテッド ウェハ搬送用ブレード
US20090065995A1 (en) * 2007-09-11 2009-03-12 Atmel Corporation Ambidexturous Shuttle Spoon
JP5647148B2 (ja) * 2009-01-11 2014-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板を輸送する静電エンドエフェクタ装置、システム、および方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1063683A2 (en) * 1999-06-03 2000-12-27 Applied Materials, Inc. Robot blade for semiconductor processing equipment
JP2007281254A (ja) * 2006-04-07 2007-10-25 Tokyo Electron Ltd 基板支持体及び基板搬送機構

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
JP特开2007281254A 2007.10.25
JP特开平11-121580A 1999.04.30

Also Published As

Publication number Publication date
TWI520822B (zh) 2016-02-11
KR20110104993A (ko) 2011-09-23
JP5846917B2 (ja) 2016-01-20
WO2010081003A3 (en) 2010-08-26
WO2010081003A2 (en) 2010-07-15
JP2012514873A (ja) 2012-06-28
US20100178137A1 (en) 2010-07-15
TW201032972A (en) 2010-09-16
KR101660241B1 (ko) 2016-09-27
CN102341901A (zh) 2012-02-01

Similar Documents

Publication Publication Date Title
CN102341901B (zh) 用于移动基板的系统、设备与方法
US5984391A (en) Microfeature wafer handling apparatus and methods
JP7169334B2 (ja) 基板移送ロボットエンドエフェクタ
JP5574553B2 (ja) 基板搬送装置及び保持装置
JP4680657B2 (ja) 基板搬送システム
JP3881062B2 (ja) 基板保持機構および基板処理装置
TW201526153A (zh) 具有經減少基板粒子產生的基板支持設備
JP4873895B2 (ja) 平板状搬送物の搬送方法及びその装置
CN109153131A (zh) 具有减少的破裂的机械手子组件、终端受动器组件及方法
JP4643185B2 (ja) 移載装置
US6267423B1 (en) End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
CN107464772B (zh) 晶片支撑及校准设备
JP3711189B2 (ja) 基板搬送装置
US20100144147A1 (en) Sample holding tool, sample suction device using the same and sample processing method using the same
JP2001223252A (ja) ロボットの吸着レスハンド
EP2409937A2 (en) Apparatus, Carrier, and Method for Securing an Article for Coating Processes
JP2001196443A (ja) 半導体チップのピックアップ装置およびピックアップ方法
JP2002353291A (ja) 基板搬送装置
JP7268208B2 (ja) ウェハ用の基板処理装置
JPH0661331A (ja) 基板搬送装置
KR20160002345A (ko) 기판 이송 아암 및 이를 포함하는 기판 이송 장치
JP2001077454A (ja) 半導体製造装置及び製造方法
JP6907384B1 (ja) ピックアップ装置
CN116666299A (zh) 用于晶圆传输设备的摩擦垫及晶圆传输设备
KR101534190B1 (ko) 기판 지지 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant