TWI520822B - 移動基板的系統、設備與方法 - Google Patents

移動基板的系統、設備與方法 Download PDF

Info

Publication number
TWI520822B
TWI520822B TW099100595A TW99100595A TWI520822B TW I520822 B TWI520822 B TW I520822B TW 099100595 A TW099100595 A TW 099100595A TW 99100595 A TW99100595 A TW 99100595A TW I520822 B TWI520822 B TW I520822B
Authority
TW
Taiwan
Prior art keywords
end effector
substrate
base portion
pads
contact surface
Prior art date
Application number
TW099100595A
Other languages
English (en)
Other versions
TW201032972A (en
Inventor
慶塔拉佩迪普魯德菲R
桑德莎提斯
艾克斯羅德波黎斯
席菲帝馬利歐大維
邱湯姆K
布羅汀傑佛瑞A
佛斯特傑生K
英格愛德華
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201032972A publication Critical patent/TW201032972A/zh
Application granted granted Critical
Publication of TWI520822B publication Critical patent/TWI520822B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Devices For Indicating Variable Information By Combining Individual Elements (AREA)

Description

移動基板的系統、設備與方法 【相關申請案】
本發明係主張美國臨時申請案第61/143,805號的優先權,其申請日為2009年1月11日,專利名稱為「移動基板的系統、設備與方法(SYSTEMS,APPARATUS AND METHODS FOR MOVING SUBSTRATES)」,在此為所有目的將其整體以引置的方式併入本文以為參考。
本發明係涉及電子元件製造,且更特定地係涉及移動基板的系統、設備及方法。
在電子元件製造中,可以藉由機械裝置(包括機械手【robot】)而將基板(例如:矽晶圓、玻璃板等)在製造設施周圍及製造設備內移動。機械裝置可藉由終端作用器(end effector)而與基板接觸。終端作用器對於製造製程來說,是一個重要的部件,因為當小心地移動基板時,可以增進任何終產物的品質。
在第一實施態樣中,係提供一種用於在一電子元件製造製程中移動基板的系統。該系統包括:一機械手(robot),用於移動基板,其中該機械手包括一終端作用器(end effector)。該終端作用器包括:一基座部分,以及設置在該基座部分上的至少三個墊,其中該些墊的各者包括一接觸表面,且至少一接觸表面具有一彎曲形狀以及約45Ra~約65Ra之一粗糙度。
在另一實施例中,係提供一種用於移動基板的終端作用器。該終端作用器包括:一基座部分;以及設置在該基座部分上的三個墊,其中該些墊的各者具有一接觸表面,且該些接觸表面的至少其中之一者具有一彎曲形狀。
在另一實施態樣中,係提供一種用於移動基板的終端作用器。該終端作用器包括:一基座部分,包括鈦摻雜(Ti-doped)氧化鋁陶瓷;三個墊,包括設置在基座部分上的鈦摻雜氧化鋁陶瓷;以及一接觸表面,位於三個墊的各者上,其中該接觸表面之各者具有一彎曲形狀,且該接觸表面之曲率半徑為約0.64mm~約9.53mm,粗糙度為約45Ra~約65Ra。
在另一實施態樣中,係提供一種用於移動基板的終端作用器。該終端作用器包括:一基座部分;以及至少三個墊,設置在該基座部分上,其中該些墊的各者具有一接觸表面,且該些接觸表面的至少其中之一者具有一彎曲形狀,以及約45Ra~約65Ra的粗糙度。
在方法實施態樣中,係提供一種用於在一電子元件製造製程中移動一基板的方法。該方法包括:提供一基板運載機械手,該機械手包括一機械手臂;在該機械手臂上提供一終端作用器,該終端作用器包括一基座部分以及設置在其上的至少三個墊,其中該些墊之各者包括一接觸表面,且該些接觸表面的至少其中之一者具有一彎曲形狀,以及約45Ra~約65Ra的粗糙度;放置該基板而與該終端作用器接觸;以及移動該機械手臂。
由下方之詳細說明、附加申請專利範圍以及伴隨的圖式,本發明之其他特徵及實施態樣將變得完全明顯。
在電子元件製造中,基板(例如:矽晶圓、玻璃板等)通常藉由機械手裝置而移動通過數個製造步驟。快速地移動基板可以增加生產量,並因此降低製造成本。然而,即使在完成基板之前,這些基板都具有極高價值。因此,當基板移動通過製造步驟時,必須十分小心,以避免基板掉落或甚至傷害到基板。另外,在基板上的微粒可能會使得基板的製造複雜化。除此之外,當基板在表面上滑動時,亦會使得微粒的產生增加。因此,較佳係使得基板的滑動最小化。
本發明的實施例包括一終端作用器,其具有相對非滑動(relatively non-slip)的特性。終端作用器包括一基座部分,且該基座部分具有至少三個墊設置於其上。各個墊具有一接觸表面,而基板可以放置在接觸表面上,且至少一接觸表面為彎曲的(curved)。基板係放置而與墊接觸,並藉由終端作用器而例如移動進出各個製造步驟或位置。在部分實施例中,一或多個墊具有一接觸表面,而該接觸表面具有一特定表面粗糙度,其可進一步減少基板滑動的可能性。此外,墊係採一配置而設置在基座部分上,而此配置係構成終端作用器的非滑動特性。因此,有利地,基板可以相對快速地移動,並且伴隨著:由終端作用器上掉落的可能性降低、導致更具重複性及精確的基板放置之滑動最小化、及/或微粒產生的最小化。在一實施態樣中,終端作用器可以容納各種基板,包括具有非完整形狀(例如:弓形【bowed】)的基板。
系統、設備及方法的該些與其他實施例係參照第1-11圖而描述如下。
第1圖繪示根據本發明之實施例所提供的示範性電子元件處理工具100。參照第1圖,處理工具100可包括數個耦接至傳送腔室104的處理腔室102,傳送腔室104可以容納傳送腔室(TC)機械手106。TC機械手106具有第一臂108,該第一臂108在第一連接部(linkage)112而連接至機械手基座110,並在第二連接部116連接至第二臂114。終端作用器118(於視圖中為部分隱藏)可以於第二連接部116的遠端(distal)而附接至第二臂114。終端作用器118可接觸(例如:運載)基板120(例如:半導體晶圓、玻璃板等)。
處理工具100的傳送腔室104可以透過加載鎖定腔室122而連接至工廠界面124。工廠界面124可容納工廠界面(FI)機械手126。FI機械手126具有第一臂128,該第一臂128在第一連接部(linkage) 132而連接至機械手基座130,並在第二連接部136連接至第二臂134。終端作用器138(於視圖中為部分隱藏)可以於第二連接部136的遠端而附接至第二臂134。終端作用器138可接觸(例如:運載)基板140。
FI機械手126可以位於一軌道(圖中未示)上,其允許FI機械手126沿著X方向而在平行於無塵室壁142的路徑中往復移動。工廠界面124係相鄰於無塵室壁的第一側144。
基板載具146為可拆卸地及可移除地連接至無塵室壁的第二側148,並可以透過無塵室壁中的開口(圖中未示)而與工廠界面的內部空間150連接。處理腔室102、加載鎖定腔室122及基板載具146中的可能基板位置152係以虛線顯示。
處理工具100可以耦接至控制器154。控制器154可以控制基板的移動及處理。控制器154可以例如包括中央處理單元(CPU) 156、支援電路158及記憶體160。CPU 156為可以用於控制各種腔室及次處理器(subprocessor)的工業設定中的任何形式電腦處理器。記憶體160可以耦接至CPU 156。記憶體160可以為電腦可讀取媒體,並且可以為一或多種的易於使用(readily available)的記憶體,例如:本地或遠端的,隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟機、硬碟機或是任何其他形式的數位儲存器。支援電路158可以耦接至CPU 156,並採任何習知方式支援CPU 156。支援電路158可以包括快取記憶體(cache)、電源供應器、時鐘電路、輸入/輸出電路系統、子系統及類似者。
處理工具可以設置成各種配置,且在不同配置中可使用各種機械手,例如SCARA機械手、四連桿(4-link)機械手等。各個機械手具有用於接觸基板的至少一個(但也可具有二或多個)終端作用器(有時稱之為葉片;blade)。終端作用器可以例如為:重力終端作用器、真空終端作用器及/或靜電終端作用器。傳送腔室內部空間162及/或處理腔室內部空間164可以保持在非常低的壓力或真空下。真空終端作用器可能不一定總是適用在這些環境中,因為產生壓差而將基板附著至終端作用器是困難或是不可能的。因此,重力終端作用器至少特別適用在低壓或真空環境中。
在操作中,TC機械手106可經過設置而使得在第一連接部112和第二連接部116的旋轉組合可以將第二臂114及終端作用器118定位並延伸至期望位置。TC機械手106可以例如在處理腔室102及加載鎖定腔室122之間或是在不同處理腔室102之間移動基板。以相似的方式,FI機械手126亦可經過設置而使得在第一連接部132和第二連接部136的旋轉組合可以將第二臂134及終端作用器138定位並延伸至期望位置。FI機械手126可以例如在加載鎖定腔室122和基板載具146之間移動基板。為此,FI機械手可以在X方向沿著軌道(圖中未示)而往復移動,而使得FI機械手126可以進入數個基板載具146。
隨著製造製程的進展,串聯作動(working in tandem)的FI機械手126及TC機械手106可以使基板在基板載具146與處理腔室102之間移動。處理腔室102中可以進行各種電子元件製造製程,例如:半導體元件製造製程,舉例為氧化、薄膜沉積、蝕刻、熱處理、除氣、冷卻等。
係期望能夠盡可能地快速移動基板以加速製造製程,因而降低製造成本。然而,當藉由FI機械手126及/或TC機械手106(或是藉由在此未討論或是未繪示於第1圖中的其他機械手)而移動基板時,隨著終端作用器之相對快速加速及減速之重力(g-force)的增加,則基板在一或多個終端作用器118、138上的滑動的可能性也增加。重力終端作用器特別可能發生滑動現象。滑動可能造成基板從終端作用器掉落,而在重新取得基板的同時會因此使得系統操作延遲。基板的掉落可能會延緩製造製程,並可能亦會造成基板的傷害。因此,係期望使用能夠降低基板滑動之可能性的終端作用器,以至少預防基板從終端作用器掉落。
另外,即使基板並未從終端作用器上掉落,在終端作用器上的滑動可能對製造製程造成負面影響。舉例來說,除此之外,當基板在終端作用器上滑動時,面向終端作用器之基板的一側(即,基板的背側)可能會積聚微粒(即,背側微粒)(微粒亦可稱之為「adder」)。舉例來說,滑動可能會刮傷基板表面而形成微粒,而微粒會附著至基板的背側。微粒自有其方法而移動到基板的側面。此外,不期望基板被刮傷,因為單單是刮傷就會降低任何終產物的品質。再者,微粒的產生通常是有害的,因為其他基板可能會因此受到污染。又,滑動可能造成基板在處理腔室中的不適當定位,因而可能造成不適當的處理。
由於可以藉由降低或消除基板的滑動而降低或消除背側微粒及/或基板刮傷,故協助降低或消除滑動的終端作用器對於電子元件製造來說是高度有利的。更特定的說,降低或消除基板滑動是有利的,藉此,基板在經歷相對高重力的同時,不會積聚背側微粒及/或成為被刮傷或是受到傷害。允許基板經歷相對高的重力係使得製造步驟之進行具有較低的製程循環時間,因而使得總系統生產量增加。
亦為重要的是,終端作用器係容納各種形狀的基板。舉例來說,大多數的基板為平坦的或是為實質平坦的,而在部分實例中的基板為弓形的(bowed)(例如凹狀或凸狀)。基板的形狀可能會影響基板如何接觸終端作用器以及基板接觸終端作用器的位置,因而會影響基板滑動的可能性。再者,至少基於基板的成分而可能呈現不同的基板滑動現象。另外,在製造環境中,各種微粒(例如矽樹脂灰;silicone dust)可能會沉積在終端作用器上。這些微粒可能會使得基板滑動的可能性增加。
第2圖繪示終端作用器200的示範性實施例。終端作用器200可包括一基座部分202,該基座部分202具有設置在其上的第一墊204、第二墊206及第三墊208。基座部分202可包括基座部分近端210以及基座部分遠端212。當在使用終端作用器200時,近端210係最接近於機械手臂(圖中未示)或是附接至機械手臂。終端作用器200可經配置而使得可藉由例如螺釘、螺栓、夾鉗件或類似者而固定至機械手臂。各個墊204、206、208可具有接觸表面214,而當基板放置以與終端作用器200接觸時,該接觸表面214係適於接觸基板(圖中未示)。第一墊204、第二墊206及第三墊208的一或多者可例如具有呈彎曲形狀的接觸表面214。基座部分202亦可具有設置於其上的護軌(guard rail) 216,以進一步確保基板不會從終端作用器200滑落。
基座部分202可經成形而使得接觸該些墊204、206、208的基板可以藉由銷(pin)(圖中未示)而升舉離開終端作用器200。舉例來說,銷可以相對於終端作用器200而升高,或是當銷保持不動時,終端作用器200可以降低,或者是銷及終端作用器200可以同時移動。例如當基板放置在銷上時,A、B及C係指出銷的位置。基座部分遠端212可以經成形,而使得例如在位置A的銷可以相對於終端作用器200而升高。舉例來說,遠端212可以如圖所示而具有凹口(notched)。
第一墊204及第二墊206可以彼此分隔而為相對較遠(可允許的分隔程度係考量基座部分202的尺寸)。第一墊204可設置而相對接近於基座部分第一邊緣218,並且相對接近於基座部分遠端212。第二墊206可設置而相對接近於基座部分第二邊緣220,並且相對接近於基座部分遠端212。相較於第一墊204與第二墊206,第三墊208係設置而相對較接近於基座部分近端210,並且約略位於基座部分第一邊緣218與基座部分第二邊緣220之間的中間點。
第2A圖顯示出第2圖所示之終端作用器200的側視圖(但不包括護軌)。墊206、208及204(墊204並未示於第2A圖中)可以設置在基座部分202上,藉此,墊與(設置而與終端作用器接觸的)基板接觸。
第3圖描述終端作用器300的另一示範性實施例。如同第2圖中所示的終端作用器一樣,第3圖所示之終端作用器300可以包括基座部分302及設置於其上的第一墊304、第二墊306及第三墊308。各個墊可具有接觸表面310。墊304、306、308設置的方式可以類似於第2圖中所示之實施例。第一護軌312及第二護軌314皆設置在基座部分遠端316,並且與第2圖所示之實施例中的護軌相比而較為大型。護軌312、314可以由基座部分302的高起(raised)區域構成。亦由基座部分302的高起區域構成的第三護軌318可以設置而較第三墊308更為接近於基座部分近端320。護軌312、314、318的一或多者可以在終端作用器300的水平面而呈圓形(rounded),藉此,該些護軌係接近於基板的圓周之圓形形狀。
第3A圖顯示出第3圖所示之終端作用器300的側視圖。此視圖顯示出第二護軌314及第三護軌318為基座部分302的高起部分。墊306、308及304(墊304並未示於第3A圖中)可以設置在基座部分302上,藉此,墊與(設置而與終端作用器接觸的)基板接觸。
第4圖顯示終端作用器之部分放大剖面側視圖,其具有設置在基座部分402上的示範性墊400。墊400具有接觸表面404,其係接觸基板(圖中未示)。接觸表面404為彎曲的。本實施例之接觸表面404具有曲率半徑(R)(radius of curvature)為約0.375英吋(9.53mm)。接觸表面404的粗糙度為約45Ra~約65Ra(基於ASME Y14.36M-1996標準)。由基座部分402量測至墊接觸表面404之最高點所得之墊400的高度(h)可以例如為約0.075英吋(1.9mm)。墊400可具有足夠高度(h),藉此,除了平坦基板以外,弓形的基板可以與數個墊接觸,而不與基座部分402接觸。如下所討論者,彎曲的接觸表面404可確保無論是平坦或是弓形的基板都可以與接觸表面404有穩定的接觸。墊的直徑可以為約0.313英吋(7.95mm)。在第4圖所示之實施例中,墊400與基座部分402為單一材料件(piece),也就是說,墊400與基座部分402由相同的材料件而機器加工製成。
第5圖顯示終端作用器之部分放大剖面側視圖,其具有設置在基座部分502上的示範性墊500。墊500具有接觸表面504,其係接觸基板(圖中未示)。接觸表面504為彎曲的,並具有曲率半徑(R)為約0.025英吋(0.64mm)。接觸表面504的粗糙度為約45Ra~約65Ra。由基座部分502量測至墊接觸表面504之最高點所得之墊500的高度(h)可以例如為約0.075英吋(1.9mm)。墊500可具有足夠高度,藉此,除了平坦基板以外,弓形的基板可以與數個墊接觸,而不與基座部分502接觸。如下所討論者,彎曲的接觸表面504可確保無論是平坦或是弓形的基板都可以與接觸表面504有穩定的接觸。墊的直徑可以為約0.313英吋(7.95mm)。在第5圖所示之實施例中,墊500與基座部分502為分開製造,之後,再例如利用黏著劑(如:環氧樹脂)及/或螺釘或螺栓而將墊500固定至基座部分502。
第6圖顯示設置在基座部分602上之二個示範性墊600。各個墊600具有接觸表面604,該接觸表面604係接觸實質平坦基板606。第6A圖顯示接觸第6圖所示之相同之示範性墊600的接觸表面604之弓形基板608。第6A圖顯示彎曲接觸表面604即使與弓形基板608而仍有相對良好的接觸。
在第6A圖中,相較於弓形基板外部部分612,弓形基板中央部分610係相對較接近於基座部分602。因此,弓形基板608係與接觸表面內部部分614接觸。(圖中未示)若相較於弓形基板外部部分612,弓形基板中央部分610係相對較遠離基座部分602,則弓形基板608係與接觸表面外部部分616接觸。
在部分實施例中,終端作用器可以由基座部分與設置於其上的至少三個墊所構成。各個墊具有接觸表面,至少一墊上的至少一接觸表面可具有彎曲形狀。當由至少一側邊角度觀看時(例如參見第4及5圖),具有彎曲形狀的墊可以具有凸狀輪廓。在部分實施例中,接觸表面具有凸狀彎曲形狀,而其由一或多個側邊角度或甚至所有側邊角度觀看,該凸狀彎曲形狀為對稱的。舉例來說,接觸表面具有對稱的彎曲形狀,而賦予接觸表面一個對稱的凸狀外表,也就是說,當由任何角度觀看時,其呈圓頂狀。然而,接觸表面可以為不對稱的。任何接觸表面可以在接觸表面的不同點而彎曲具有不同的曲率半徑,意即,接觸表面在一或多個位置處可以為彎曲的,或是在接觸表面之整個表面上,其可以為均勻地或非均勻地彎曲。當基板放置而與終端作用器接觸時,至少一墊具有與基板接觸的彎曲表面。墊及/或墊接觸表面可以例如具有大致圓柱狀、立方體狀、圓錐狀或其他形狀。各個墊可以具有不同形狀,或者各個墊的形狀係近似於其他墊的形狀。
終端作用器可以在基座部分上設置有:僅三個墊、大於三個墊(例如四個墊)、或大於四個墊。在具有三個墊的實施例中,墊可以如第2及3圖而設置,但並不必須為如此。在具有四或多個墊的實施例中,兩個墊係設置在基座部分的近端而彼此分隔相對遠,此設置方式係類似於基座部分遠端212上所示之墊的設置方式(參照第2圖)。
基座部分及(或)一或多個墊及(或)一或多個護軌可以例如由具有相對低導熱性、相對高的剛性重量比(stiffness to weight ratio)及相對低的熱膨脹係數的材料構成。基座部分及(或)一或多個墊及(或)一或多個護軌可以例如由一材料構成,該材料具有:約3.96g/cc的密度及(或)約370GPa的彈性模數及(或)約7.4μm/m-℃的熱膨脹係數及(或)約2000℃的操作溫度限值。
舉例來說,終端作用器具有:約0.44磅(0.2kg)~約0.53磅(0.24kg)的重量,及(或)約0.013英吋(0.33mm)~約0.015英吋(0.38mm)的下垂(droop)(因終端作用器自身重量而在其末端的偏斜),及(或)約47.9Hz~約49.3Hz的第一自然頻率(natural frequency)。
基座部分及(或)一或多個墊及(或)一或多個護軌可以由導電性材料形成,藉以預防電弧並提供放電的接地路徑。舉例來說,基座部分及(或)一或多個墊及(或)一或多個護軌可以由例如不鏽鋼、氧化鋁、鎳鍍鋁或其類似者構成。基座部分及(或)一或多個墊及(或)一或多個護軌可以由陶瓷形成,例如:氧化鋯、碳化矽或鈦摻雜(Ti-doped)陶瓷。基座部分及(或)一或多個墊及(或)一或多個護軌可以由約99.5%氧化鋁製成之鈦摻雜陶瓷所形成。在部分實施例中,基座部分及(或)一或多個墊及(或)一或多個護軌可以由具有約1×106~約1×1013ohms/cm之表面電阻的材料形成。基座部分及(或)一或多個墊及(或)一或多個護軌可以由相同材料或不同材料製成。
在部分實施例中,基座部分及(或)一或多個墊及(或)一或多個護軌可以利用來自一材料件(piece)(例如:單一塊)的基座部分而機器加工。因此,舉例來說,基座部分、所有的墊及所有的護軌可以機器加工為一單一材料件。在其他實施例中,設置在基座部分上的一或多個墊及(或)設置在基座部分上的一或多個護軌可以為分開製造,並例如利用黏著劑(如:環氧樹脂)及(或)一或多個螺釘、壓配(press fit)或其類似者而固定至基座部分。
在部分實施例中,可將墊散佈設置而彼此為相對遠,以提供墊之間的距離,且該距離對於基板的表面積來說是足夠的。墊可以經設置,而例如使得二或多個墊係設置朝向基座部分遠端,及一或多個墊係設置朝向基座部分近端(見第2圖)。墊可以經設置,而例如使得二或多個墊係設置朝向基座部分近端,及一或多個墊係設置朝向基座部分遠端。終端作用器可以包括護軌,但並不必須包括之。
基座部分可以由大於一個材料件所製成,或是可以為一個單一材料件。在基座部分為大於一個材料件的情況下,基座部分的各個件可不包含有墊,或是含有一或多個墊,而基座部分的各個件可以由與基座部分的其他件、及/或一或多個墊、及/或一或多個護軌相同的材料或是不同的材料製成。
本發明之實施例可以提供用於重力終端作用器、真空終端作用器及(或)電性終端作用器(例如靜電終端作用器)。
在本發明之部分實施例中,墊的接觸表面曲率半徑(R)(參照第4及5圖)例如為約0.025英吋(0.64mm)~0.375英吋(9.53mm)。基板可以在墊接觸表面上的不同位置處接觸一或多個墊,其係至少取決於基板形狀及接觸表面形狀。
在本發明之實施例中,一或多個墊接觸表面的表面粗糙度為約45Ra~約65Ra。一或多個墊之高度(h)(參照第4及5圖)例如為約0.050英吋(1.3mm)~約0.1英吋(3mm)。一或多個墊的高度為約0.075英吋(1.9mm)。各個墊的高度(h)可以與設置在基座部分上的其他墊之高度相同或不同。在部分實施例中,各個墊的高度係足以預防弓形基板接觸終端作用器的基座部分。包括墊接觸表面的任何墊係例如由一均質或實質均質的材料構成。一或多個墊的直徑為約0.2~約0.5英吋,且在部分實施例中為約0.313英吋。
基板可以座落在或是放置在(即,放置在終端作用器的頂側上)終端作用器上,並藉由重力而保持定位。然而,本發明的實施例可以包括靜電、真空或其他類型的終端作用器,其是利用除了重力以外的方式而接觸(附著至)基板。因此,本發明之實施例的應用包括終端作用器係接觸基板的頂側之情況,而非接觸基板的底部或背側。舉例來說,基板係設置在終端作用器下方(基板與終端作用器接觸)。
在操作中,基板係放置而與終端作用器接觸,藉此,基板與墊接觸表面接觸。在部分情況中,弓形基板係放置而與終端作用器接觸,藉此,基板係接觸該墊接觸表面。終端作用器係利用相對高重力而加速及/或減速,基板將不會滑動,或者將僅滑動相對微小的距離。因此,來自滑動(會造成刮傷或是造成基板從終端作用器掉落)而對基板造成的任何傷害則可大幅度的降低。由於滑動現象降低,來自墊及/或基板的微粒產生及積聚亦可減少。
在部分實施例中,終端作用器以至少0.13g的加速度移動時,終端作用器可以維持基板的放置在約±0.005英吋(0.13mm)之內、或是在約±0.0044英吋(0.11mm)之內、或甚至在±0.00335英吋(0.085mm)之內。在又一實施例中,終端作用器以至少0.13g的加速度移動時,終端作用器可以維持基板的放置在約±0.0029英吋(0.074mm)之內,或甚至±0.0009英吋(0.02mm)之內。
第7圖為利用配備有本發明之終端作用器的機械手以移動基板的製造方法之示範性流程圖。根據方法700,在步驟702中,係提供一配置有一臂的機械手而適於運載基板。在步驟704,透過適當的附接方法而在機械手臂上提供本發明的終端作用器,該終端作用器具有至少一墊,該墊包括具有彎曲形狀的接觸表面。該墊可以進一步包括如上所述之表面粗糙度。在步驟706中,基板係放置而與終端作用器的墊接觸。在步驟708,機械手臂係移動,藉此,終端作用器與接觸該終端作用器的基板亦移動。隨著終端作用器與基板的變化,如上所述的製程可以重複數次。
第8-11圖繪示以本發明的終端作用器而以0.13G移動基板時,與期望之放置位置的放置偏差(placement deviation)之數據的多個圖表。所有的測試皆以鈦摻雜之99.5%氧化鋁陶瓷終端作用器來進行,該終端作用器具有由相同陶瓷材料構成的圓頂狀墊。
在第8圖中所測試的基板為高度弓形晶圓,其具有約400微米的壓縮性弓形(compressive bow)。第8圖繪示超過約略500循環而在0.13g之橫向加速下的+/-2.9密爾(mils)之最大放置偏差(以英吋計)。因此,此圖表顯示包括圓頂狀墊的本發明在相對高g的條件下,對於控制弓形基板之放置偏差來說是高度有效的。
第9圖繪示由終端作用器運載的基板,該基板為較不具弓形的矽晶圓,其具有拉伸弓形(tensile bow)為約150微米。第9圖繪示超過約略250循環而在0.13g之橫向加速下的+/-2.9密爾(mils)之最大放置偏差(以英吋計)。此圖表顯示包括圓頂狀墊的本發明在相對高g的條件下,對於控制甚至為拉伸弓形晶圓之放置偏差來說是高度有效的。
第10圖繪示基板的測試數據,該基板為具有低摩擦表面條件(μ=0.11~0.13)的弓形矽晶圓。第10圖繪示超過約略450循環而在0.13g之橫向加速下的+/-4.4密爾(mils)之最大放置偏差(以英吋計)。此圖表顯示包括圓頂狀墊的本發明在相對高g的條件下,對於控制甚至低摩擦晶圓之放置偏差來說是高度有效的。
第11圖繪示基板(矽晶圓)的測試數據,該些墊以大量噴灑矽灰(silicon dust)以模擬可能的使用情況。第11圖繪示超過約略550循環而在0.13g之橫向加速下的+/-3.35密爾(mils)之最大放置偏差(以英吋計)。此圖表顯示包括圓頂狀墊的本發明在相對高g的條件下,甚至當墊暴露於矽灰,對於放置偏差的控制是高度有效的。
上述說明僅揭示本發明之示範性實施例,對於上方揭示系統、設備及方法的修改,只要落入本發明的範疇內,對於該技術領域人士來說是明顯的。舉例來說,精確的墊放置,以及墊的使用數量,在本發明之不同實施例中是可以改變的。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100...處理工具
102...處理腔室
104...傳送腔室
106...機械手
108...第一臂
110...基座
112...第一連接部
114...第二臂
116...第二連接部
118...終端作用器
120...基板
122...加載鎖定腔室
124...工廠界面
126...機械手
128...第一臂
130...基座
132...第一連接部
134...第二臂
136...第二連接部
138...終端作用器
140...基板
142...無塵室壁
144...第一側
146...基板載具
148...第二側
150...內部空間
152...基板位置
154...控制器
156...中央處理單元/CPU
158...支援電路
160...記憶體
162,164...內部空間
200...終端作用器
202...基座部分
204...第一墊
206...第二墊
208...第三墊
210...近端
212...遠端
214...接觸表面
216...護軌
218...第一邊緣
220...第二邊緣
300...終端作用器
302...基座部分
304...第一墊
306...第二墊
308...第三墊
310...接觸表面
312...第一護軌
314...第二護軌
316...遠端
318...第三護軌
320...近端
400...墊
402...基座部分
404...接觸表面
500...墊
502...基座部分
504...接觸表面
600...墊
602...基座部分
604...接觸表面
606...基板
608...基板
610...中央部分
612...外部部分
614...內部部分
616...外部部分
700...方法
702,704,706,708...步驟
第1圖,為根據本發明之實施例所提供之示範性電子元件製造處理工具的概要平面頂視圖。
第2圖,為根據本發明之實施例所提供之示範性終端作用器的立體視圖。
第2A圖,為根據本發明之實施例所提供之第2圖的示範性終端作用器之側視圖。
第3圖,為根據本發明之實施例所提供之另一示範性終端作用器的立體視圖。
第3A圖,為根據本發明之實施例所提供之第3圖的示範性終端作用器之側視圖。
第4圖,為根據本發明之實施例所提供之終端作用器的部分放大剖面側視圖,該終端作用器具有設置在基座部分上的示範性墊。
第5圖,為根據本發明之實施例所提供之另一終端作用器的部分放大剖面側視圖,該終端作用器具有設置在基座部分上的示範性墊。
第6圖,為根據本發明之實施例所提供之示範性墊與基板接觸的側視圖。
第6A圖,為根據本發明之實施例所提供之示範性墊與弓形基板接觸的側視圖。
第7圖,為根據本發明之實施例所提供之用於移動基板之示範性方法的流程圖。
第8圖,為利用400μm弓形半導體晶圓之基板(晶圓)放置測試的結果之圖形表示。
第9圖,為利用150μm弓形半導體晶圓之基板(晶圓)放置測試的結果之圖形表示。
第10圖,為利用倒置(inverted)半導體晶圓之基板(晶圓)放置測試的結果之圖形表示。
第11圖,為利用在支撐晶圓之墊上放置矽灰之後才移動之半導體晶圓的基板(晶圓)放置測試的結果之圖形表示。
600...墊
602...基座部分
604...接觸表面
606...基板

Claims (24)

  1. 一種用於在一電子元件製造製程中移動基板的系統,該系統包括:一機械手(robot),用於移動該些基板,該機械手包括一終端作用器(end effector),且該終端作用器包括:一基座部分,以及至少三個墊,設置在該基座部分上,其中該些墊的各者包括一接觸表面,且至少一個接觸表面具有一彎曲形狀以及約45Ra~約65Ra的粗糙度。
  2. 如申請專利範圍第1項所述之系統,該系統係主要由三個墊組成。
  3. 如申請專利範圍第1項所述之系統,該系統包括四個墊。
  4. 如申請專利範圍第1項所述之系統,該系統包括超過四個墊。
  5. 如申請專利範圍第1項所述之系統,其中該至少一個接觸表面具有約0.64mm~約9.53mm的曲率半徑(radius of curvature)。
  6. 如申請專利範圍第1項所述之系統,其中該基座部分與該些墊中的至少一個墊係由導電性材料構成。
  7. 一種用於移動基板的終端作用器,該終端作用器包括:一基座部分;以及三個墊,設置在該基座部分上,其中該些墊的各者具有一接觸表面,且該些接觸表面中的至少一個接觸表面具有約45Ra~約65Ra的表面粗糙度。
  8. 如申請專利範圍第7項所述之終端作用器,其中至少一個接觸表面具有一彎曲形狀及約0.64mm~約9.53mm的曲率半徑。
  9. 如申請專利範圍第7項所述之終端作用器,其中該些接觸表面的各者具有約45Ra~約65Ra的表面粗糙度。
  10. 如申請專利範圍第7項所述之終端作用器,其中該基座部分係由導電性材料構成。
  11. 如申請專利範圍第7項所述之終端作用器,其中該基座部分係由傳導性材料構成,該傳導性材料係選自由不鏽鋼、氧化鋁、鎳鍍鋁、氧化鋯及碳化矽所組成的群組。
  12. 如申請專利範圍第7項所述之終端作用器,其中至少一個墊係由導電性材料構成。
  13. 如申請專利範圍第7項所述之終端作用器,其中至少一個墊係由傳導性材料構成,該傳導性材料係選自由不鏽鋼、氧化鋁、鎳鍍鋁、氧化鋯及碳化矽所組成的群組。
  14. 如申請專利範圍第7項所述之終端作用器,其中該些墊與該基座部分一起包括一單一材料件(piece)。
  15. 一種用於移動基板的終端作用器,該終端作用器包括:一基座部分,係由鈦摻雜(Ti-doped)氧化鋁陶瓷構成;以及三個墊,係由鈦摻雜氧化鋁陶瓷構成,並設置在該基座部分上,其中該三個墊的各者包括具有一彎曲形狀的一接觸表面,且該接觸表面之曲率半徑為約0.64mm~約9.53mm,且該接觸表面之粗糙度為約45Ra~約65Ra。
  16. 一種用於移動基板的終端作用器,該終端作用器包括:一基座部分;以及至少三個墊,設置在該基座部分上,其中該些墊的各 者具有一接觸表面,且該些接觸表面中的至少一個接觸表面具有一彎曲形狀以及約45Ra~約65Ra的粗糙度。
  17. 如申請專利範圍第16項所述之終端作用器,其中具有一彎曲形狀的該接觸表面具有約0.64mm~約9.53mm的曲率半徑。
  18. 如申請專利範圍第16項所述之終端作用器,其中該基座部分係由導電性材料構成。
  19. 如申請專利範圍第16項所述之終端作用器,其中至少一個墊係由導電性材料構成。
  20. 如申請專利範圍第16項所述之終端作用器,其中該些墊與該基座部分一起包括一單一材料件。
  21. 一種用於在一電子元件製造製程中移動一基板的方法,該方法包括以下步驟:提供一基板運載機械手,該機械手包括一機械手臂;在該機械手臂上提供一終端作用器,該終端作用器包括一基座部分以及設置在其上的至少三個墊,其中該些墊的各者包括一接觸表面,且該些接觸表面中的至少一個接觸表面具有一彎曲形狀以及約45Ra~約65Ra的粗糙度; 放置該基板而與該終端作用器相接觸;以及移動該機械手臂。
  22. 如申請專利範圍第21項所述之方法,其中該終端作用器在以0.13g加速移動的同時,能維持基板放置在±0.13mm之內。
  23. 如申請專利範圍第22項所述之方法,其中該終端作用器在以0.13g加速移動的同時,能維持基板放置在±0.085mm之內。
  24. 如申請專利範圍第23項所述之方法,其中該終端作用器在以0.13g加速移動的同時,能維持基板放置在±0.02mm之內。
TW099100595A 2009-01-11 2010-01-11 移動基板的系統、設備與方法 TWI520822B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14380509P 2009-01-11 2009-01-11

Publications (2)

Publication Number Publication Date
TW201032972A TW201032972A (en) 2010-09-16
TWI520822B true TWI520822B (zh) 2016-02-11

Family

ID=42317164

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099100595A TWI520822B (zh) 2009-01-11 2010-01-11 移動基板的系統、設備與方法

Country Status (6)

Country Link
US (1) US20100178137A1 (zh)
JP (1) JP5846917B2 (zh)
KR (1) KR101660241B1 (zh)
CN (1) CN102341901B (zh)
TW (1) TWI520822B (zh)
WO (1) WO2010081003A2 (zh)

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5548163B2 (ja) * 2010-09-14 2014-07-16 株式会社日立国際電気 基板搬送機構、基板処理装置および半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9117865B2 (en) 2012-04-12 2015-08-25 Applied Materials, Inc. Robot systems, apparatus, and methods having independently rotatable waists
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
EP2996973B1 (en) 2013-05-17 2019-01-30 Intelligrated Headquarters LLC Robotic carton unloader
US9487361B2 (en) 2013-05-17 2016-11-08 Intelligrated Headquarters Llc Robotic carton unloader
US9650215B2 (en) 2013-05-17 2017-05-16 Intelligrated Headquarters Llc Robotic carton unloader
JP5888287B2 (ja) * 2013-06-26 2016-03-16 株式会社ダイフク 処理設備
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
WO2015017444A1 (en) 2013-07-30 2015-02-05 Intelligrated Headquarters Llc Robotic carton unloader
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
EP3038958B1 (en) 2013-08-28 2019-05-29 Intelligrated Headquarters LLC Robotic carton unloader
CN105556654B (zh) 2013-09-26 2019-07-26 应用材料公司 用于传送基板的气动终端受动器装置、基板传送系统与方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP6256909B2 (ja) * 2013-10-21 2018-01-10 株式会社アルバック 基板搬送装置および基板処理装置
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10431489B2 (en) 2013-12-17 2019-10-01 Applied Materials, Inc. Substrate support apparatus having reduced substrate particle generation
US9370863B2 (en) 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9623569B2 (en) 2014-03-31 2017-04-18 Intelligrated Headquarters, Llc Autonomous truck loader and unloader
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6456065B2 (ja) * 2014-05-16 2019-01-23 日本電産サンキョー株式会社 産業用ロボットのハンドおよび産業用ロボット
US9425076B2 (en) * 2014-07-03 2016-08-23 Applied Materials, Inc. Substrate transfer robot end effector
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10090188B2 (en) 2016-05-05 2018-10-02 Applied Materials, Inc. Robot subassemblies, end effector assemblies, and methods with reduced cracking
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR101882397B1 (ko) * 2016-08-25 2018-07-27 피에스케이 주식회사 반송 로봇 및 이를 가지는 기판 처리 장치
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
WO2018053169A1 (en) 2016-09-14 2018-03-22 Intelligrated Headquarters, Llc Robotic carton unloader
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10597235B2 (en) 2016-10-20 2020-03-24 Intelligrated Headquarters, Llc Carton unloader tool for jam recovery
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US20190013215A1 (en) * 2017-07-05 2019-01-10 Kawasaki Jukogyo Kabushiki Kaisha Substrate holding hand and substrate conveying apparatus including the same
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11600580B2 (en) * 2019-02-27 2023-03-07 Applied Materials, Inc. Replaceable end effector contact pads, end effectors, and maintenance methods
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR102556368B1 (ko) * 2020-10-30 2023-07-18 세메스 주식회사 반송 핸드 및 기판 처리 장치
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102424792B1 (ko) * 2021-12-07 2022-07-22 권재천 정전기 방지 기능을 구비한 돔 형태의 건식 패드 구조체

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
US4733632A (en) * 1985-09-25 1988-03-29 Tokyo Electron Limited Wafer feeding apparatus
US4962441A (en) * 1989-04-10 1990-10-09 Applied Materials, Inc. Isolated electrostatic wafer blade clamp
JPH05226452A (ja) * 1992-02-10 1993-09-03 Toshiba Ceramics Co Ltd ウエハ移載用治具
US5711647A (en) * 1994-10-17 1998-01-27 Aesop, Inc. Method of and apparatus for locating and orientating a part on a gripper and transferring it to a tool while maintaining location and orientation on the tool
US6267423B1 (en) * 1995-12-08 2001-07-31 Applied Materials, Inc. End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
US6395363B1 (en) * 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
US5955858A (en) * 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
US6175097B1 (en) * 1997-07-25 2001-01-16 Srimathy Raghavan Ceramic-coated metal guide pin
JPH11121580A (ja) * 1997-10-13 1999-04-30 Hitachi Ltd 板状物体の支持方法及び処理装置
US6095582A (en) * 1998-03-11 2000-08-01 Trusi Technologies, Llc Article holders and holding methods
JP2000260846A (ja) * 1999-03-12 2000-09-22 Kokusai Electric Co Ltd 半導体製造装置
TW543079B (en) * 1999-06-03 2003-07-21 Applied Materials Inc Robot blade for semiconductor processing equipment
US6817640B2 (en) * 2001-06-28 2004-11-16 Applied Materials, Inc. Four-bar linkage wafer clamping mechanism
JP2003077977A (ja) * 2001-09-05 2003-03-14 Canon Inc 基板支持方法および基板搬送用ハンド
US7048316B1 (en) * 2002-07-12 2006-05-23 Novellus Systems, Inc. Compound angled pad end-effector
US7641247B2 (en) * 2002-12-17 2010-01-05 Applied Materials, Inc. End effector assembly for supporting a substrate
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US20060113806A1 (en) * 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
JP4663569B2 (ja) * 2006-03-30 2011-04-06 シャープ株式会社 表面多孔質なハニカム構造体の製造方法
JP4748795B2 (ja) * 2006-04-07 2011-08-17 東京エレクトロン株式会社 基板支持体及び基板搬送機構
US7717481B2 (en) * 2007-01-11 2010-05-18 Applied Materials, Inc. High temperature robot end effector
JP4516089B2 (ja) * 2007-03-30 2010-08-04 アプライド マテリアルズ インコーポレイテッド ウェハ搬送用ブレード
US20090065995A1 (en) * 2007-09-11 2009-03-12 Atmel Corporation Ambidexturous Shuttle Spoon
WO2010080997A1 (en) * 2009-01-11 2010-07-15 Applied Materials, Inc. Electrostatic end effector apparatus, systems and methods for transporting susbtrates

Also Published As

Publication number Publication date
TW201032972A (en) 2010-09-16
JP5846917B2 (ja) 2016-01-20
US20100178137A1 (en) 2010-07-15
CN102341901B (zh) 2013-11-06
KR20110104993A (ko) 2011-09-23
WO2010081003A3 (en) 2010-08-26
KR101660241B1 (ko) 2016-09-27
JP2012514873A (ja) 2012-06-28
WO2010081003A2 (en) 2010-07-15
CN102341901A (zh) 2012-02-01

Similar Documents

Publication Publication Date Title
TWI520822B (zh) 移動基板的系統、設備與方法
EP3084818B1 (en) Substrate support apparatus having reduced substrate particle generation
JP7169334B2 (ja) 基板移送ロボットエンドエフェクタ
US5746460A (en) End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
JP5123851B2 (ja) 加工物を格納するための加工物容器
JP2006157012A (ja) ウエハ移送機構
US6267423B1 (en) End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
JPH024144B2 (zh)
US8402628B2 (en) Apparatus, carrier, and method for securing an article for coating processes
US20120189408A1 (en) Method and apparatus for wafer support
JP7268208B2 (ja) ウェハ用の基板処理装置
JPH01313953A (ja) ウェハー支持用のスパチュラ装置およびウェハーの配置方法
KR20240008908A (ko) 각도 컴플라이언스 메커니즘을 갖는 블레이드-타입 엔드 이펙터
CN110890310A (zh) 晶圆承载盘
CN111344854A (zh) 用于极端翘曲晶片的衬底处置设备