JP4986784B2 - 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体 - Google Patents

処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体 Download PDF

Info

Publication number
JP4986784B2
JP4986784B2 JP2007241537A JP2007241537A JP4986784B2 JP 4986784 B2 JP4986784 B2 JP 4986784B2 JP 2007241537 A JP2007241537 A JP 2007241537A JP 2007241537 A JP2007241537 A JP 2007241537A JP 4986784 B2 JP4986784 B2 JP 4986784B2
Authority
JP
Japan
Prior art keywords
processed
processing
wafer
transfer
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007241537A
Other languages
English (en)
Other versions
JP2009076503A (ja
JP2009076503A5 (ja
Inventor
雅博 沼倉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2007241537A priority Critical patent/JP4986784B2/ja
Priority to KR1020080072829A priority patent/KR101020345B1/ko
Priority to US12/203,509 priority patent/US8055378B2/en
Priority to CN2008102156409A priority patent/CN101393437B/zh
Priority to TW097135656A priority patent/TWI453853B/zh
Publication of JP2009076503A publication Critical patent/JP2009076503A/ja
Publication of JP2009076503A5 publication Critical patent/JP2009076503A5/ja
Application granted granted Critical
Publication of JP4986784B2 publication Critical patent/JP4986784B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/4184Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by fault tolerance, reliability of production system
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31355Fault, if one station defect, stop it, other stations take over
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32243Rerouting parts
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32297Adaptive scheduling, feedback of actual proces progress to adapt schedule
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Description

本発明は、被処理体に所定の処理を施す処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体に関し、より詳細には被処理体の搬送を制御する方法に関する。
近年、半導体工場内に配置された処理システムのほとんどは、被処理体を搬送する搬送機構とともに、被処理体に所定の処理を施す2以上の処理室を有している。この場合、各被処理体を複数の処理容器にいかに搬送するかは、処理システムのスループットを上げ、生産性を向上させるために重要である。そこで、効率よく被処理体を処理するために、複数の処理室に対して順番に被処理体が搬送されるように被処理体の搬送先を定める方法がある(以下、OR搬送とも称呼する。)。
OR搬送中に、故障などで任意の処理室に異常が生じた場合、異常が生じた処理室に搬送する予定であった被処理体を一旦、キャリアに退避させ、退避させた被処理体を正常な処理室に搬送することにより、システム全体のスループットをなるべく低下させないほうがよい。そこで、従来から、処理室の運転状況によって搬送経路を最適化する技術が提案されている(たとえば、特許文献1〜4を参照。)。これによれば、いずれかの処理室が故障などによって使用できなくなった場合でも、その他の処理室を使用して効率よく被処理体を処理することができる。
特開2001−93791号公報 特開2002−246377号公報 特開2002−252263号公報 特開平11−67869号公報
しかしながら、一旦退避した被処理体を正常な処理室に搬送し直し、所望の処理を施すと不具合が生じる場合がある。たとえば、正常な処理室内部が安定状態に整えられていない場合、その処理室にて処理を施された被処理体は製品不良となる可能性があり、同一ロット中の他の被処理体との間に特性上のバラツキが生じ、そのロット全体の品質が保証できないため、ロットに含まれるウエハ全体を製品として出荷できないおそれがある。
たとえば、被処理体の処理が各処理室規定の処理枚数や処理時間に達すると、処理室内壁への反応生成物の付着や処理室内の各部材の温度等の経時的変化に伴う処理室内の状態の変化に対して処理室内の雰囲気を整えるためにクリーニングを行う必要がある。このため、製品ウエハの処理の合間にクリーニング用ウエハも搬送する場合がある。
また、前記クリーニング後、処理室内の状態を安定化させるためにロット安定ダミーウエハを用いたシーズニング処理を行う場合には、製品ウエハの処理の合間にロット安定ダミーウエハも搬送される。この場合、クリーニング処理後であってシーズニング処理前の処理室に被処理体を搬送すると、正常な処理室内部が安定状態に整えられていないため、その処理室にて処理を施された被処理体は、製品不良となるおそれがある。
また、稼働中の処理室の内部が次ロットを受け入れる雰囲気に整えられている後、現ロットに含まれる上記退避された被処理体をその処理室に搬送すると、搬送された被処理体を処理することにより処理室内部の雰囲気が変わり、次ロットの処理に悪影響を及ぼすおそれがある。たとえば、ロットの処理がクリーニング処理で終了するように各ウエハを搬送すべきところ、クリーニング後の処理室にて一旦退避させた被処理体を搬送した場合である。
以上のように、正常に稼働中の処理室であっても、被処理体を処理する直前に実行される処理の内容によっては、当該被処理体の搬送を禁止したほうがよい場合がある。そこで、本発明は、処理室の運転状況によって搬送経路を最適化する際、処理室にて直前に実行される処理の内容に基づき、所定の場合には定められた搬送先への搬送を禁止する処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体を提供する。
すなわち、上記課題を解決するために、本発明のある観点によれば、被処理体に所定の処理を施す複数の処理室と、被処理体を収容する被処理体収容ポートと、前記複数の処理室と前記被処理体収容ポートとの間にて被処理体を所定の搬送先に搬送する搬送機構と、を有する処理システムを制御する装置が提供される。その制御装置は、前記複数の処理室のうち正常に稼働している処理室に対して被処理体が順番に搬送されるように前記被処理体収容ポートに収容された被処理体の搬送先を定める搬送先決定部と、前記複数の処理室のいずれかが被処理体の搬入を禁止する状態にある場合、前記搬入禁止の処理室を搬送先と定め、かつ前記搬入禁止の処理室に未だ搬入していない被処理体を、一旦、被処理体収容ポートに退避させる退避部と、前記搬送先決定部により前記退避後の被処理体の搬送先が新たに定められた場合、新たな搬送先の処理室にて前記退避後の被処理体を処理する直前に実行される処理が所定の条件を満たしているとき、新たな搬送先に前記退避後の被処理体を搬送することを禁止する搬送禁止部と、を備える。
これによれば、異常等が生じて搬入禁止となった処理室を搬送先と定め、かつ前記搬入禁止の処理室に未だ搬入していない被処理体は、一旦、被処理体収容ポートに退避される。その後、退避された被処理体の搬送先が新たに定められた場合、新たな搬送先の処理室にて、退避された被処理体を処理する直前に実行される処理が所定の条件を満たしているとき、新たな搬送先に前記退避後の被処理体を搬送することが禁止される。
前記退避後の被処理体の搬送を禁止する所定の条件としては、たとえば、前記退避後の被処理体を処理する直前に実行される処理によっても前記搬送先の処理室内部が安定状態に整えられていない場合が挙げられる。
より具体的には、前記退避後の被処理体を処理する直前の処理が前記搬送先の処理室内部をクリーニングすべきタイミングであるのにもかかわらず、前記直前の処理がクリーニング処理でない場合が挙げられる。
また、前記退避後の被処理体を処理する直前の処理が前記搬送先の処理室内部をクリーニングした後、シーズニングすべきタイミングであるのにもかかわらず、前記直前の処理がシーズニング処理でない場合が挙げられる。
このような場合、前記搬送先の処理室内部は、被処理体を処理できる程度に良好な状態に整えられていないので、その装置内部で退避された被処理体を処理すると製品不良を起こすおそれがある。
また、前記退避後の被処理体の搬送を禁止する所定の条件の他の一例としては、前記退避後の被処理体を処理する直前に実行される処理によって、前記搬送先の処理室内部が、前記退避後の被処理体を含むロットの次のロットを受け入れる状態に整えられた場合が挙げられる。
より具体的には、前記退避後の被処理体を処理する直前に実行されるクリーニング処理によって前記搬送先の処理室内部が前記次のロットを受け入れる状態に整えられた場合が挙げられる。
また、前記退避後の被処理体を処理する直前に実行されるシーズニング処理によって前記搬送先の処理室内部が前記次のロットを受け入れる状態に整えられた場合が挙げられる。
このような場合、前記搬送先の処理室内部は次のロットを受け入れる雰囲気に整えられているので、その装置内部で現ロットに含まれる前記退避後の被処理体を処理すると前記搬送先の処理室内部の雰囲気が変わり、現ロットや次ロットの被処理体を処理したときに製品不良を起こすおそれがある。
このようにして、ロット中の被処理体に一つでも不良品が発生すると、同一ロット中の他の処理済み被処理体との間で特性上のバラツキが生じ、後工程において同一ロットに含まれる被処理体の少なくともいずれかに製品としての質が確保されていない製品がまじっていると判断され、同一ロットに含まれる被処理体のすべてを製品として出荷できないおそれがある。
更に、現ロットの退避後の被処理体の処理により搬送先の処理室内部の雰囲気が多少なりとも変わるので、次のロットを受け入れる雰囲気に搬送先の処理室内部を整えるためにさらなる処理が必要となり、処理システム全体のスループットを低下させる原因ともなる。
よって、本発明によれば、正常に稼働中の処理室であっても、たとえば、その内部が安定状態に整えられていない場合や次ロットを受け入れる状態に整えられている場合等、被処理体を処理する直前に実行される処理が所定の条件に該当する場合、当該被処理体の搬送を禁止する。
すなわち、通常は、OR搬送により処理の効率化を図り、異常等によりある処理室が搬入禁止になった場合には、搬入禁止を搬送先としていた被処理体を退避させ、退避させた被処理体を新たな搬送先に搬送する処理を原則とするが、上記所定の条件に該当する場合には退避後の被処理体の搬送を禁止し、これにより、不良品の発生を抑制し、同一ロット中の被処理体の特性にバラツキが生じること防止することにより、処理システム全体の生産性を高めることができる。
前記搬送先決定部は、前記搬送禁止部により搬送を禁止された被処理体の搬送先を、正常に稼働している他の処理室のいずれかに搬送するように定めるか、または異常が発生した処理室の復帰を待って復帰した処理室に搬送するように定めてもよい。
前記処理システムは、前記複数の処理室の各処理室と前記搬送機構との間にて前記各処理室と前記搬送機構とを連結する前処理室をさらに備え、前記退避部は被処理体が前記前処理室に搬入されたとき、被処理体が搬送先の処理室に搬入されたと判定してもよい。あるいは、被処理体がいずれかの処理室に搬入されたとき、前記退避部は被処理体が搬送先の処理室に搬入されたと定めてもよい。
前記搬送禁止部は、クリーニング用の被処理体が前記搬送機構から前記前処理室に搬入されたとき、前記前処理室に連結した前記処理室にて実行される直前の処理はクリーニング処理であると判定してもよい。
前記搬送禁止部は、シーズニング用の被処理体が前記搬送機構から前記前処理室に搬入されたとき、前記前処理室に連結した前記処理室にて実行される直前の処理はシーズニング処理であると判定してもよい。
さらに、前記搬送禁止部はレシピに示された処理手順に基づき、前記処理室にて実行される直前の処理を判定しても良い。これによれば、ロット安定ダミーウエハを用いずに処理室をクリーニングやシーズニングする場合でも、直前の処理を的確に判断することができる。
前記搬送先決定部は、被処理体が前記搬送機構から前記前処理室に搬入されるタイミングに連動して、前記被処理体収容ポートに収容された次の被処理体の搬送先を定めてもよい。
あるいは、前記搬送先決定部は、被処理体が前記被処理体収容ポートから前記搬送機構に搬出されるタイミングに連動して、前記被処理体収容ポートに収容された次の被処理体の搬送先を定めてもよい。
前記退避部は、前記複数の処理室の各処理室の定期メンテナンスまたは前記各処理室に異常が発生した場合、前記各処理室は被処理体の搬入を禁止する状態にあると判定してもよい。なお、処理システムは、ウエハまたは基板を処理するシステムであってもよい。
上記課題を解決するために、本発明の別の観点によれば、被処理体に所定の処理を施す複数の処理室と、被処理体を収容する被処理体収容ポートと、前記複数の処理室と前記被処理体収容ポートとの間にて被処理体を所定の搬送先に搬送する搬送機構と、を有する処理システムを制御する方法であって、前記複数の処理室のうち正常に稼働している処理室に対して被処理体が順番に搬送されるように前記被処理体収容ポートに収容された被処理体の搬送先を定め、前記複数の処理室のいずれかが被処理体の搬入を禁止する状態にある場合、前記搬入禁止の処理室を搬送先と定め、かつ前記搬入禁止の処理室に未だ搬入していない被処理体を、一旦、被処理体収容ポートに退避させ、前記退避後の被処理体の搬送先を新たに定め、前記新たに定められた搬送先の処理室にて前記退避後の被処理体を処理する直前に実行される処理が所定の条件の場合、新たな搬送先に前記退避後の被処理体を搬送することを禁止する処理システムの制御方法が提供される。
上記課題を解決するために、本発明の別の観点によれば、被処理体に所定の処理を施す複数の処理室と、被処理体を収容する被処理体収容ポートと、前記複数の処理室と前記被処理体収容ポートとの間にて被処理体を所定の搬送先に搬送する搬送機構と、を有する処理システムの制御をコンピュータに実行させるために用いられる制御プログラムを記憶した記憶媒体であって、前記複数の処理室のうち正常に稼働している処理室に対して被処理体が順番に搬送されるように前記被処理体収容ポートに収容された被処理体の搬送先を定める処理と、前記複数の処理室のいずれかが被処理体の搬入を禁止する状態にある場合、前記搬入禁止の処理室を搬送先と定め、かつ前記搬入禁止の処理室に未だ搬入していない被処理体を、一旦、被処理体収容ポートに退避させる処理と、前記退避後の被処理体の搬送先を新たに定める処理と、前記新たに定められた搬送先の処理室にて前記退避後の被処理体を処理する直前に実行される処理が所定の条件の場合、新たな搬送先に前記退避後の被処理体を搬送することを禁止する処理と、含む制御プログラムを記憶した記憶媒体が提供される。
これらによれば、異常等が生じた処理室に搬送を予定していた被処理体を新たな搬送先に搬送する場合、搬送先の処理室にて直前に実行される処理の内容を考慮し、所定の場合には搬送先への搬送が禁止される。これにより、処理室内部の状態を良好に保ちながら、不良品の発生を抑止し、処理済み被処理体の製品としての特性にバラツキが生じること防ぐことができる。この結果、歩留まりを向上させ、生産性を高めることができる。
以上説明したように、本発明によれば、プロセス処理室の運転状況によって搬送経路を最適化する際、処理室にて直前に実行される処理の内容に基づき、所定の場合には定められた搬送先への搬送を禁止することができる。
以下に添付図面を参照しながら、本発明の好適な実施形態について詳細に説明する。なお、以下の説明及び添付図面において、同一の構成及び機能を有する構成要素については、同一符号を付することにより、重複説明を省略する。
(第1実施形態)
まず、図1を参照しながら本発明の第1実施形態にかかる処理システムの概要を説明する。なお、本実施形態では、処理システムを用いてシリコンウエハ(以下、ウエハWとも称呼する。)をエッチング処理する例を挙げて説明する。
(処理システムの概要)
処理システム10は、EC(Equipment Controller:装置コントローラ)200、4つのMC(Machine Controller:マシーンコントローラ)300a〜300d、2つのPM1(Process Module:プロセスモジュール),PM2および2つのLLM1(Load Lock Module:ロードロックモジュール)、LLM2を有している。
EC200は、顧客側LAN(Local Area Network)700a、700bを介してホストコンピュータ100、管理サーバ600にそれぞれ接続されている。管理サーバ600は、PC(Personal Computer)800などの情報処理機器に接続されている。オペレータは、PC800を操作することにより処理システム10に指令を送るようになっている。EC200、MC300a〜300d、PM1、PM2、LLM1、LLM2は工場内に設けられていて、工場内LANによりそれぞれ接続されている。
ホストコンピュータ100は、データ管理など処理システム10の全体を管理する。EC200は、ウエハをエッチング処理する手順を示したシステムレシピを保持し、システムレシピにしたがってPM1、PM2、LLM1、LLM2を動作させるように各MC300に制御信号を送信するとともに動作後のデータの履歴管理などを行う。
MC300a〜300dはプロセスレシピを保持していて、EC200から送信された制御信号に基づいて、プロセスレシピの手順にしがたいPM1、PM2に設けられた各機器をそれぞれ駆動することにより、ウエハWの処理を制御するとともに、LLM1、LLM2に設けられた各機器をそれぞれ駆動することにより、ウエハWの搬送を制御する。
PM1、PM2は、内部を所定の真空状態に保持した状態にてたとえばエッチング処理などの所定の処理をウエハWに施す処理室である。LLM1、LLM2は、内部を所定の減圧状態に保持した状態にて大気側から真空状態にあるPMへウエハWを搬入するとともにPM側から大気側へウエハを搬出する搬送室である。管理サーバ600は、オペレータの操作によりPC800から送信されたデータに基づいて、各装置の動作条件などを設定する。
(処理システムの内部構成)
つぎに、処理システム10の内部構成について、図2を参照しながら説明する。処理システム10は、第1のプロセスシップPS1、第2のプロセスシップPS2、搬送ユニットTR、位置合わせ機構ALおよびカセットステージCSを有している。
第1のプロセスシップPS1は、PM1およびLLM1を有している。第2のプロセスシップPS2は、第1のプロセスシップPS1と平行に配設されていて、PM2およびLLM2を有している。LLM1、LLM2は、その両端に設けられたゲートバルブVの開閉により内部圧力を調整しながら、各搬送アームArma、Armbに把持されたウエハWを各PMから搬送ユニットTRまたは搬送ユニットTRから各PMに搬送する。
搬送ユニットTRは矩形の搬送室であり、第1のプロセスシップPS1および第2のプロセスシップPS2に接続されている。搬送ユニットTRには搬送アームArmcが設けられていて、搬送アームArmcを用いて、LLM1、LLM2内の搬送アームArma,Armbと連動しながらウエハWを搬送する。
搬送ユニットTRの一端には、ウエハWの位置決めを行う位置合わせ機構ALが設けられていて、ウエハWを載置した状態で回転台ALaを回転させながら、光学センサALbによりウエハ周縁部の状態を検出することにより、ウエハWの位置を合わせるようになっている。
搬送ユニットTRの側部には、カセットステージCSが設けられている。カセットステージCSには、3つのカセット容器Cが載置されている。各カセット容器Cには、複数のウエハWが多段に収容される。
かかる構成により、各カセット容器C内の各ウエハWは、搬送ユニットTRを介してカセット容器Cから搬出され、位置合わせ機構ALにて位置をあわせた後、プロセスシップPS1,PS2に交互に一枚ずつ搬送され、LLM1,LLM2を通ってPM1またはPM2に搬送され、エッチング処理後、各機構を経由して再びいずれかのカセット容器Cに収容される。このようにして、ウエハWをPM1およびPM2に交互に一枚ずつ搬送する方法をOR搬送という。
なお、PM1、PM2は被処理体に所定の処理を施す複数の処理室の一例であり、カセットステージCS(カセット容器C)は被処理体を収容する被処理体収容ポートの一例である。また、搬送ユニットTRは複数の処理室と被処理体収容ポートとの間にて被処理体を所定の搬送先に搬送する搬送機構の一例であり、EC200(またはMC300)は、処理システム10を制御する装置の一例である。LLM1,LLM2は各処理室と搬送機構とに連結された前処理室の一例である。
(EC、MCのハードウエア構成)
つぎに、EC200のハードウエア構成について、図3を参照しながら説明する。なお、MC300のハードウエア構成はEC200と同様であるためここでは説明を省略する。
図3に示したように、EC200は、ROM205、RAM210、CPU215、バス220、内部インタフェース(内部I/F)225および外部インタフェース(外部I/F)230を有している。
ROM205には、搬送処理を制御するプログラムやウエハ処理を制御するプログラム、異常発生時に起動するプログラム、各種レシピ等が記録されている。RAM210には、各種プログラムやデータが蓄積されている。なお、ROM205およびRAM210は、記憶装置の一例であり、EEPROM、光ディスク、光磁気ディスクなどの記憶装置であってもよい。
CPU215は、各種レシピにしたがってウエハの搬送および処理を制御する。バス220は、ROM205、RAM210、CPU215、内部インタフェース225および外部インタフェース230の各デバイス間でデータをやりとりする経路である。
内部インタフェース225は、データを入力し、必要なデータを図示しないモニタやスピーカ等に出力するようになっている。外部インタフェース230は、LAN等のネットワークにより接続されている機器との間でデータを送受信するようになっている。
(ECの機能構成)
つぎに、ECの機能構成について、EC200の各機能をブロックにて示した図4を参照しながら説明する。EC200は、記憶部250、搬送先決定部255、退避部260、搬送禁止部265、ウエハ処理制御部270、通信部275および搬送制御部280の各ブロックにより示される機能を有している。
記憶部250は、PM1およびPM2にてウエハWに所望の処理を施すための処理手順を示したレシピ群250a(レシピa〜レシピn)を記憶する。搬送先決定部255は、PM1およびPM2のうち正常に稼働しているPMに対してウエハWが順番にOR搬送されるようにカセットステージCSのカセット容器Cに収容されたウエハWの搬送先を定める。
退避部260は、PM1またはPM2のいずれかがウエハWの搬入を禁止する状態にある場合、搬入禁止のPMに搬送されるように定められ、かつ搬入禁止のPMに未だ搬入していないウエハWを、一旦、カセットステージCSに退避させる。なお、PMがウエハWの搬入を禁止する状態の一例としては、PMの定期メンテナンスやPMに異常(エラー)が発生した場合が挙げられる。
搬送禁止部265は、搬送先決定部255により退避後のウエハWの搬送先が新たに定められた場合、新たな搬送先のPMにて退避後のウエハWを処理する直前に実行される処理が所定の条件を満たしているとき、新たな搬送先に退避後のウエハWを搬送することを禁止する。
ウエハ処理制御部270は、オペレータから指定されたレシピを記憶部250から選択し、レシピの手順にしたがって各PMにて実行されるエッチング処理を制御するための駆動信号を出力する。通信部275は、主にMC300と情報を送受信する。通信部275は、ウエハを処理するための駆動信号をMC300に送出する。
搬送制御部280は、搬送先決定部255、退避部260、搬送禁止部265からの指示に従い、所定の位置に所定のウエハを搬送するための信号を出力する。通信部275は、各ウエハの搬送先を指示するために前記信号をMC300に送出する。
なお、以上に説明したEC200の各部の機能は、実際には、図3のCPU215がこれらの機能を実現する処理手順を記述した制御プログラム(レシピを含む)を記憶したROM205やRAM210などの記憶媒体から制御プログラムを読み出し、そのプログラムを解釈して実行することにより達成される。たとえば、本実施形態では、搬送先決定部255、退避部260、搬送禁止部265、ウエハ処理制御部270および搬送制御部280の各機能は、実際には、CPU215がこれらの機能を実現する処理手順を記述したプログラムを実行することにより達成される。
(ECの動作)
つぎに、EC200により実行される搬送処理、ウエハ処理、異常発生時割込処理について説明する。図5のフローチャートに示された搬送処理および図6のフローチャートに示されたウエハ処理は、所定時間経過毎に別々に起動され、図7のフローチャートに示された異常発生時割込処理は、異常発生時に割込処理として起動される。
オペレータが、レシピおよびロット番号を指定してロットスタートボタンを「オン」すると、該当ロットが投入され、そのロットに含まれるウエハを順に搬送する準備が整う。このタイミングに連動して図5のステップ500から搬送処理が開始され、図6のステップ600からウエハ処理が開始される。
なお、本実施形態では、図8の下部に示すように、各ロットには、25枚の製品ウエハP1〜P25、クリーニング処理用のウエハ(クリーニング用ウエハC1、C2)、シーズニング処理用のウエハ(ロット安定ダミーウエハS1,S2)の3種類のウエハが含まれる。
製品ウエハの処理が各PMに規定された処理枚数や処理時間に達すると、PMの内壁への反応生成物の付着やPM内の各部の温度等の経時的変化に伴う処理室内の状態の変化に対して処理室内の雰囲気を整えるためにクリーニングを行う必要がある。このため、本実施形態では、25枚の製品ウエハを処理後(すなわち、ロットの最後)にクリーニング用ウエハC1、C2を搬送して、PM1,PM2をそれぞれクリーニングする。これによりPM1,PM2内部の雰囲気は、次ロットを受け入れる状態に整えられる。
また、前記クリーニング後、処理室内の状態を安定化させるためにロット安定ダミーウエハを用いたシーズニング処理を行う場合もある。本実施形態では、現ロットの最初に、PM1、PM2内を製品ウエハの処理が行える程度に安定させるために、ロット安定ダミーウエハS1,S2を用いたシーズニング処理する。
PM1およびPM2が正常に稼働している通常状態では、ウエハWの搬送先は、ウエハWをPM1およびPM2に交互に一枚ずつ搬送(OR搬送)するように定められる。したがって、オペレータにより指定されたロット番号の一番目のロット安定ダミーウエハS1は、PM1を搬送先として定められ、二番目のロット安定ダミーウエハS2は、PM2を搬送先として定められる。
(搬送処理)
搬送処理は図5のステップ500から開始され、搬送制御部280はステップ505にて搬送すべきウエハがあるか否かを判定する。この時点では、搬送すべきロット安定ダミーウエハS1、S2が存在するので、搬送制御部280はステップ510にて該当ウエハS1、S2を搬送先PM1、PM2にそれぞれ搬送するための信号を出力する。この指示信号は、通信部275からMC300に伝えられ、MC300の制御により各PMの搬送機構が駆動することによって各ウエハのOR搬送が開始される。なお、搬送すべきウエハがない場合には、ステップ510をスキップして次のステップ515に進む。
搬送先決定部255は、ステップ515にて前ウエハがカセット容器Cから搬出されたか否かを判定する。一般的に、処理システム10は、ウエハの処理よりもウエハの搬送に多くの時間がかかる。特に、短時間プロセスの場合にはこの傾向は顕著である。よって、本実施形態の搬送処理では、搬送律速により処理済みのウエハがPMから搬出された後、次に処理すべきウエハがPMに搬入されるまでに待ち時間が生じないように、前ウエハがカセット容器Cから搬送ユニットTRに搬出されたタイミングに次ウエハの搬送先が定められる。
なお、搬送先決定部255は、上記のように、前ウエハがカセット容器Cから搬送ユニットTRに搬出されたタイミングに連動して次ウエハの搬送先を定める替わりに、前ウエハが搬送ユニットTRからLLMに搬入されるタイミングに連動して、次ウエハの搬送先を定めてもよい。
さて、この時点では、ウエハS1、S2がカセット容器Cから搬出されているので、ステップ520に進んで、搬送先決定部255は、指定されたロット中に搬送先が定められていないウエハがあるか否かを判定する。現時点では現ロットに含まれるウエハP1、P2・・・P25、C1、C2の搬送先が定められていない。よって、ステップ525に進んで搬送先決定部255は、各ウエハがPM1,PM2に交互に搬送されるように次ウエハP1の搬送先をPM1に決定し、ステップ595に進んで本処理を一旦終了する。
なお、ステップ515にて前ウエハがカセット容器Cから搬出されていないと判定された場合、およびステップ520にて指定されたロット中に搬送先が定められていないウエハはないと判定された場合には、直ちにステップ595に進んで本処理を一旦終了する。
(ウエハ処理)
一方、ウエハ処理は図6のステップ600から開始され、ウエハ処理制御部270はステップ605にて新しいウエハが搬入されたか否かを判定する。新しいウエハが搬入された場合、ステップ610に進んでウエハ処理制御部270は、記憶部250に記憶されたレシピ群250aのうち、オペレータにより指定されたレシピに従ってウエハにエッチング処理を施し、ステップ695に進んで本処理を一旦終了する。なお、新しいウエハが搬入されていない場合には、そのままステップ695に進んで本処理を一旦終了する。
(OR搬送時に起こりえる搬送状態と課題)
以上に説明したOR搬送では、たとえば、PM1のレシピ時間がPM2のレシピ時間よりも分単位で長い場合や、PM2でレシピのスキップを実施したことにより、PM1とPM2のレシピ時間の差が分単位になった場合、既にプロセスシップPS1向けにカセット容器Cから搬出されたウエハをプロセスシップPS2向けにカセット容器Cから搬出されたウエハが追い越してしまうことがある。
たとえば、図12に示したプロセスでは、PM1のレシピ時間がPM2のレシピ時間よりも分単位で長いため、既にプロセスシップPS1向けにカセット容器Cから搬出されたウエハP19をプロセスシップPS2向けにカセット容器Cから搬出されたウエハP20が追い越し、ウエハP19がLLM1に搬入されるより先にウエハP20がLLM2に搬入される。
さらにOR搬送を続けると、製品ウエハP25をカセット容器Cから搬出した後、プロセスシップPS2向けのクリーニング用ウエハC1が製品ウエハP25を追い越してLLM2に搬送される。
このような搬送状況において生じる課題について、図13を参照しながら説明する。図13(a)に示したように、プロセスシップPS2側での処理が先行している状態で図13(b)に示したように、PM1に故障が生じたためにPM1へウエハを搬入することが禁止された場合、PM1を搬送先に予定していた製品ウエハP25は、PM1に未搬入の状態なので、一旦、カセット容器Cに戻される。
ここで、搬送の効率を考えるとPM1が復帰するまで製品ウエハP25を待機させるより、稼働中のPM2を製品ウエハP25の新たな搬送先に定めて、製品ウエハP25をプロセスシップPS2に搬送し、PM2にて製品ウエハP25にエッチング処理を施すのが好ましい。
そこで、図13(c)に示したように、製品ウエハP25をプロセスシップPS2に搬送し、PM2にてエッチング処理を施すと、その直前のクリーニング用ウエハC1を用いたクリーニング処理により、PM2内部が次ロットを受け入れる状態に整えられていたにもかかわらず、現ロットに含まれる製品ウエハP25の処理によりPM2の内部の雰囲気が変わり、次ロットの処理に悪影響を及ぼすおそれがある。
また、PM2は、クリーニング処理済みであるがシーズニング処理前の状態なので、内部の雰囲気は製品ウエハP25を処理できる程度に安定な状態に整えられていない。この結果、PM2にてエッチング処理を施された製品ウエハP25が不良品となるおそれがあり、現ロットの他の処理済み製品ウエハとの間に特性上のバラツキが生じ、後工程において現ロットに含まれる製品ウエハの少なくともいずれかに製品として出荷できる程度の質が確保されていない製品がまじっていると判断されてしまい、現ロットに含まれる製品ウエハのすべてを製品として出荷できないおそれがある。
さらに、現ロットの製品ウエハの処理によりPM2の内部の雰囲気が多少なりとも変わるので、次のロットを受け入れる雰囲気にPM2の内部を整えるためにさらなる処理が必要となる。
このように、正常に稼働中のPMであっても、退避ウエハを処理する直前に実行される処理の内容によっては、当該ウエハの搬送を禁止したほうがよい場合がある。そこで、本実施形態の異常発生時割込処理では、一旦退避させたウエハを新たな搬送先PMに搬送する場合、搬送先PMにて退避ウエハを処理する直前に実行される処理を考慮し、必要な場合には当該ウエハを新たな搬送先に搬送することを禁止する。
以下では、この異常発生時割込処理について、図7に示したフローチャートを参照しながら説明する。なお、PMの異常発生時、PMの異常発生を知らせる割込信号がMC300からEC200に出力される。ここでは、OR搬送中、図8(b)に示したPM1にて製品ウエハP21を処理中にPM1に異常が発生し、PM1を管理するMC300aからEC200に割込信号が出力され、この割込信号を受け取ったEC200のCPU215により図7の異常発生時割込処理が実行されるようになっている。
(異常発生時割込処理)
異常発生時割込処理はステップ700から開始され、退避部260は、ステップ705にて異常(エラー)が発生したPM(以下、異常が発生したPMを異常PMとも称呼する。)を搬送先とし、かつ、異常PMに未搬入であったウエハをカセット容器Cに退避させる。図8(b)では、異常PM1を搬送先とし、かつ異常PM1に未搬入であった製品ウエハP25をカセット容器Cに一旦退避させている。ここで、退避部260はウエハが未搬入であるか否かを、ウエハがLLMに搬入されたかどうかで判定する。つまり、PM1に異常が発生したとき、製品ウエハP21,P23,P24、C1は搬送済み、製品ウエハP25は未搬入と判定される。
つぎに、ステップ710に進んで、搬送禁止部265は、搬送先決定部255が製品ウエハP25の新たな搬送先として正常に稼働しているPM(以下、正常に稼働しているPMを正常PMとも称呼する。)に搬送するように定めた場合、正常PMにて退避ウエハの処理の直前に実行される処理を特定する。図8(b)では、クリーニング用ウエハC1がLLMに搬入されているので、退避ウエハP25をPM2に搬送したときに退避ウエハP25の直前に実行される処理はクリーニング処理であると特定される。
次いでステップ715に進み、搬送禁止部265は、所定の条件に基づき、特定された直前処理の次に該当ウエハを処理することが禁止されているか否かを判定する。所定の条件の一例としては、退避ウエハを処理する直前に実行される処理では搬送先PMの内部が次に製品ウエハを処理できるほど安定状態に整えられていない場合が挙げられる。
より具体的には、搬送先PMの内部をクリーニングすべきタイミングであるのに退避ウエハを処理する直前の処理がクリーニング処理でない場合が挙げられる。また、搬送先PMの内部をクリーニングした後、シーズニングすべきタイミングであるのに退避ウエハを処理する直前の処理がシーズニング処理でない場合が挙げられる。
このような場合、搬送先PMの内部は、製品ウエハを処理できる程度に良好な状態に整えられていないので、その装置内部で退避ウエハを処理すると退避ウエハが不良品となるおそれがあり、また、現ロットに含まれるウエハの特性にバラツキが生じるおそれがある。
また、退避ウエハの搬送を禁止する所定の条件の他の一例としては、退避ウエハを処理する直前に実行される処理によってPM内部が退避ウエハを含むロットの次のロットを受け入れる状態に整えられた場合が挙げられる。
より具体的には、退避ウエハを処理する直前に実行されるクリーニング処理によって、PM内部が前記次ロットを受け入れる状態に整えられた場合が挙げられる。また、退避ウエハを処理する直前に実行されるシーズニング処理によってPM内部が前記次ロットを受け入れる状態に整えられた場合が挙げられる。
このような場合、搬送先PMの内部は、次のロットを受け入れる雰囲気に整えられているので、その装置内部で現ロットのウエハを処理すると退避ウエハが不良品となるおそれがあり、また、現ロットに含まれるウエハ及び次ロットに含まれるウエハの特性にバラツキが生じるおそれがある。
上述した各場合において、同一ロット内のウエハの特性にバラツキが生じると、後工程において当該ロットに含まれる製品ウエハの少なくともいずれかに製品としての質が確保されていない製品がまじっていると判断されてしまい、当該ロットに含まれる製品ウエハのすべてを製品として出荷できないおそれがある。
更に、現ロットの製品ウエハの処理により搬送先PMの内部の雰囲気が多少なりとも変わるので、次のロットを受け入れる雰囲気に搬送先PMの内部を整えるためにさらなる処理が必要となり、処理システム10全体のスループットを低下させる原因ともなる。
たとえば、図8(c)に示した状況では、製品ウエハP25をプロセスシップPS2に搬送し、PM2にてエッチング処理を施すと、その直前のクリーニング用ウエハC1を用いたクリーニング処理により、PM2内部が次ロットを受け入れる状態に整えられていたにもかかわらず、現ロットの製品ウエハP25の処理によりPM2の内部の雰囲気が変わり、次ロットの処理に悪影響を及ぼすおそれがある。
また、PM2は、クリーニング処理済みであるがシーズニング後ではないので、PM2の内部は製品ウエハを処理できる程度に安定な状態に整えられていない。この結果、PM2にてエッチング処理を施された製品ウエハP25が不良品となり、同一ロット中の他の処理済み製品ウエハとの間に特性上のバラツキが生じ、現ロットに含まれる製品ウエハのすべてを製品として出荷できないおそれがある。
更に、現ロットの製品ウエハP25の処理によりPM2の内部の雰囲気が多少なりとも変わるので、次ロットを受け入れる雰囲気にPM2の内部を整えるためにさらなる処理が必要となる。
そこで、本実施形態にかかる異常発生時割込処理では、以上に示した搬送状態の場合、図7のステップ715にて、搬送禁止部265は「Yes」と判定し、退避ウェハP25をPM2へ搬送することを禁止する。次いで、ステップ720に進んで、搬送先決定部255は異常PMの復帰後、退避ウエハP25を復帰したPMに搬送するように搬送先を決定する。
この結果、図8(d)に示したように、退避ウエハP25は、PM1の復帰後LLM1に搬送され、PM1にて処理される。これによれば、図8の下部に示したように、退避ウエハP25を正常稼動中のPM2に搬送することを禁止したことにより、PM1,PM2ともに現ロットの最終処理ウエハがクリーニング用ウエハC1,C2となるため、同一ロット内のすべての製品ウエハの特性をバラツキなく良好に保つことにより、歩留まりを高く保つことができる。また、現ロットの処理終了時、すべてのPM内部が、次ロットを受け入れる雰囲気に整えられているため、次ロットの処理をスムーズに開始することができる。
なお、図7のステップ715にて、正常PMに退避ウエハを搬送することが禁止されていないと判定された場合には、ステップ725に進み、搬送先決定部255は、退避ウエハの搬送先を正常PMに変更する。この結果、異常PMの復帰を待つことなく退避ウエハを処理することができ、異常時においても現ロットのウエハを効率的に処理することができる。
(他の搬送状況における異常発生時割込処理)
つぎに、他の搬送状況における異常発生時割込処理について説明する。ここでは、図9に示したように、各ロットは、ロットの初めにクリーニング用ウエハC1、C2を用いたクリーニング処理を行い、つぎに、ロット安定ダミーウエハS1,S2を用いたシーズニング処理を行うことによってPM1,PM2の内部を製品ウエハPnの処理に適した雰囲気に整えてから25枚の製品ウエハP1〜P25を搬送する。
図9(a)では、ウエハをOR搬送することにより、オペレータにより指定されたロット番号のクリーニング用ウエハC1,C2がPM1、PM2にそれぞれ搬送され、次いでロット安定ダミーウエハS1がPM1に搬送され、製品ウエハP1の搬送先がPM1に決定された状態である。この状況においてPM1に異常が発生する。
(異常発生時割込処理)
このとき起動された図7の異常発生時割込処理では、ステップ705にて、退避部260は、異常が発生したPM1を搬入先として待機していた製品ウエハP1をカセット容器Cに退避させ、つぎに、搬送禁止部265は、ステップ710にて製品ウエハP1の搬送先をPM2に定めた場合、PM2にて退避ウエハの直前に実行される処理を特定する。図9(b)に示したように、退避ウエハP1の直前にPM2にて実行される処理はクリーニング処理である。
次いで、ステップ715に進み、搬送禁止部265は、所定の条件に基づき、特定された直前処理の次に退避ウエハP1を処理することが禁止されているか否かを判定する。本実施形態の場合、正常PM2の内部をクリーニング後、シーズニングしてから製品ウエハを処理する必要がある。よって、クリーニング後、シーズニング前のPM2の内部は、製品ウエハを処理できる程度に良好な状態に整えられていないので、PM2にて退避ウエハP1を処理すると処理後のウエハP1の特性が製品として出荷できるレベルに達しないおそれがある。
そこで、本実施形態にかかる異常発生時割込処理では、以上に示した搬送状態の場合、図7のステップ715にて、搬送禁止部265は、正常PM2に退避ウエハP1を搬送することを禁止すると判定し、ステップ720に進んで、搬送先決定部255は異常PM1の復帰後、退避ウエハP1を復帰したPM1に搬送するように搬送先を決定し、ステップ795にて本処理を一旦終了する。
これによれば、図9の下部に示したように、退避ウエハP1を正常稼動中のPM2に搬送することを禁止したことにより、PM1,PM2ともにクリーニング用ウエハC1,C2、ロット安定ダミーウエハS1,S2の順に処理された後、製品ウエハP1〜P25が処理される。このため、すべての製品ウエハの特性を良好に保つことができるとともに、現ロットに含まれる製品ウエハに特性上のバラツキが生じず、歩留まりを高く保つことができる。
なお、所定期間経過しても異常PM1が復帰しない場合、再び図7の異常発生時割込処理を起動してもよい。このとき、図9(c)に示したように、ロット安定ダミーウエハS2がPM2に搬送された状態であったとする。
この場合、既に製品ウエハP1はカセット容器に退避されているので(ステップ705)、ステップ710に進んで搬送禁止部265は、製品ウエハP1の搬送先を再び正常PM2に定めた場合、PM2にて退避ウエハP1の直前に実行される処理を特定する。この時点では、退避ウエハP1の直前にPM2にて実行される処理はシーズニング処理である。
そこで、搬送禁止部265は、ステップ715にて特定された直前処理の次に退避ウエハP1を処理することは禁止されていないと判定し、ステップ725に進んで、搬送先決定部255は、退避ウエハP1を正常PM2に搬送するように決定し、ステップ795にて本処理を一旦終了する。
この結果、図9(d)に示したように、PM1,PM2ともにクリーニング用ウエハC1,C2、ロット安定ダミーウエハS1,S2の順に処理された後、製品ウエハP1〜P25が処理される。これにより、異常PMの復帰の状況に応じて、臨機応変に各ウエハを複数のPMに搬送することができ、異常時においても処理システム全体の効率を高く維持することができる。
以上に説明したように、本実施形態に係る処理システム10によれば、いずれかのPMに異常が発生した場合、正常に稼働中の処理室であっても、たとえば、その内部が安定状態に整えられていない場合や次ロットを受け入れる状態に整えられている場合等、ウエハを処理する直前に実行される処理が所定の条件に該当する場合、当該ウエハの搬送を禁止することができる。
なお、処理システム10が3以上のPMを有する場合、ステップ715にて退避ウエハの正常PMへの搬送を禁止すると判定されたとき、ステップ720において異常PMの復帰を待ってから復帰したPMに退避ウエハを搬送する替わりに、正常稼動している他のPMを退避ウエハの新たな搬送先に特定してもよい。
また、退避部260はウエハがLLMに搬入されたとき、ウエハが搬送先のPMに搬入されたと定めたが、ウエハがいずれかのPMに搬入されたとき、退避部260はウエハが搬送先のPMに搬入されたと定めるようにしてもよい。
さらに、搬送禁止部265はレシピに示された処理手順に基づき、退避ウエハの新たな搬入先であるPMにて実行される直前の処理を判定しても良い。これによれば、ロット安定ダミーウエハを用いずにウエハレスの状態でPMをクリーニングやシーズニングする場合でも、搬入先PMで実行される直前の処理を的確に判断することができる。
以上に説明した各実施形態において、各部の動作はお互いに関連しており、互いの関連を考慮しながら、一連の動作として置き換えることができ、これにより、処理システムの制御装置の実施形態を、処理システムの制御方法の実施形態とすることができる。また、上記各部の動作を、各部の処理と置き換えることにより、処理システムの制御方法の実施形態を、処理システムを制御するための制御プログラムの実施形態とすることができる。また、処理システムを制御するための制御プログラムをコンピュータ読み取り可能な記録媒体に記憶させることにより、処理システムを制御するための制御プログラムの実施形態を制御プログラムに記録したコンピュータ読み取り可能な記録媒体の実施形態とすることができる。
以上、添付図面を参照しながら本発明の好適な実施形態について説明したが、本発明は係る例に限定されないことは言うまでもない。当業者であれば、特許請求の範囲に記載された範疇内において、各種の変更例または修正例に想到し得ることは明らかであり、それらについても当然に本発明の技術的範囲に属するものと了解される。
(処理システムの変形例1)
たとえば、上述した搬送処理(図5)、ウエハ処理(図6)および異常発生時割込処理(図7)を実行する処理システム10は、図10に示した構成であってもよい。処理システム10は、カセットチャンバ(C/C)400u1、400u2、トランスファチャンバ(T/C)400u3、プリアライメント(P/A)400u4、プロセスチャンバ(P/C)(=PM)400u5、400u6を有している。
C/C400u1、400u2には、処理前のウエハおよび処理済のウエハが収容されるとともに、クリーニング用ウエハおよびロット安定ダミーウエハが収容されている。P/A400u4は、ウエハWの位置決めを行う。
T/C400u3には、屈伸および旋回可能な多関節状のアーム400u31が設けられている。アーム400u31は、アーム400u31の先端に設けられたフォーク400u32上にウエハを保持し、適宜屈伸および旋回しながらC/C400u1、400u2とP/A400u4とP/C400u5、400u6との間でウエハを搬送するようになっている。
かかる構成により、処理システム10は、T/C400u3のアーム400u31を用いてウエハをC/C400u1、400u2から搬出し、T/C400u3、P/A400u4を経由してP/C400u5、400u6に搬入し、ウエハに対してエッチング処理などのプロセスを施した後、再び、T/C400u3を経由してC/C400u1、400u2へ搬出するようになっている。
(処理システムの変形例2)
また、本発明の処理システム10は、図11に示した構成であってもよい。処理システム10は、ウエハWを搬送する搬送システムHとウエハWに対して成膜処理またはエッチング処理等の処理を行う処理システムSとを有している。搬送システムHと処理システムSとは、LLM400t1、400t2を介して連結されている。
搬送システムHは、カセットステージ400H1と搬送ステージ400H2とを有している。カセットステージ400H1には、容器載置台H1aが設けられていて、容器載置台H1aには、4つのカセット容器H1b1〜H1b4が載置されている。各カセット容器H1bは、処理前のウエハW、処理済のウエハおよびクリーニングやシーズニングに用いるダミー処理用のウエハを多段に収容している。
搬送ステージ400H2には、屈伸および旋回可能な2本の搬送アームH2a1、H2a2が、磁気駆動によりスライド移動するように支持されている。搬送アームH2a1、H2a2は、先端に取り付けられたフォーク上にウエハWを保持するようになっている。
搬送ステージ400H2の端部には、ウエハWの位置決めを行う位置合わせ機構H2bが設けられている。位置合わせ機構H2bは、ウエハWを載置した状態で回転台H2b1を回転させながら、光学センサH2b2によりウエハWの周縁部の状態を検出することにより、ウエハWの位置を合わせるようになっている。
LLM400t1、400t2には、その内部にてウエハWを載置する載置台がそれぞれ設けられているとともに、その両端にてゲートバルブt1a、t1b、t1c、t1dがそれぞれ設けられている。かかる構成により、搬送システムHは、カセット容器H1b1〜H1b4とLLM400t1、400t2と位置合わせ機構H2bとの間でウエハWを搬送するようになっている。
処理システムSには、トランスファチャンバ(T/C)(=TM)400t3および6つのプロセスチャンバ(P/C)400s1〜400s6(=PM)が設けられている。T/C400t3は、ゲートバルブs1a〜s1fを介してP/C400s1〜400s6にそれぞれ連結されている。T/C400t3には、屈伸および旋回可能なアームSaが設けられている。
かかる構成により、処理システム10は、搬送システムHから処理システムSに搬送されたウエハを、アームSaを用いてLLM400t1、400t2からT/C400t3を経由してP/C400s1〜400s6に搬入し、ウエハに対してエッチング処理などのプロセスを施した後、再び、T/C400t3を経由してLLM400t1、400t2へ搬出し、搬送アームH2a1、H2a2がこれを受けとってカセット容器H1bに戻す。
図10及び図11に示したように、PMが3以上存在する処理システム10においても、ウエハは各P/Cに順番に搬送される。このように、3以上のPM(処理室)にウエハを順番に搬送する場合もOR搬送の概念に含まれる。この場合にも、図7の異常発生時割込処理を実行することにより、正常に稼動している処理室の状態及び異常が発生した処理室の状況に応じて、臨機応変に各被処理体を複数の処理室に搬送することができ、異常時においても処理システム全体の効率を高く維持することができる。
本発明にかかる処理システムの処理室の数はいくつであってもよい。また、本発明に用いられる被処理体は、シリコンウエハに限られず、石英やガラス等の基板であってもよい。
また、処理室にて所望の処理を実行する装置の一例としては、エッチング装置、CVD(Chemical Vapor Deposition:化学気相成長法)装置、アッシング装置、スパッタリング装置、コータデベロッパ、洗浄装置、CMP(Chemical Mechanical Polishing:化学的機械的研磨)装置、PVD(Physical Vapor Deposition:物理気相成長法)装置、露光装置、イオンインプランタなどが挙げられる。これらの装置は、マイクロ波プラズマ処理装置、誘導結合型プラズマ処理装置および容量結合型プラズマ処理装置などによって具現化されてもよい。
さらに、本発明にかかる制御装置は、EC200のみで具現化されてもよいし、EC200とMC300とから具現化されていてもよい。
本発明の一実施形態にかかる処理システムの概念図である。 同実施形態にかかる処理システムの内部構成図である。 同実施形態にかかるECのハードウエア構成図である。 同実施形態にかかるECの機能構成図である。 同実施形態にて実行される搬送処理ルーチンを示したフローチャートである。 同実施形態にて実行されるウエハ処理ルーチンを示したフローチャートである。 同実施形態にて実行される異常発生時の割り込み処理ルーチンを示したフローチャートである。 同実施形態にかかる処理システム内の搬送状態を示した図である。 同実施形態にかかる処理システム内の搬送状態を示した他の図である。 処理システムの他の内部構成図である。 処理システムの他の内部構成図である。 関連する処理システム内の搬送状態を示した図である。 関連する処理システム内の搬送状態を示した他の図である。
符号の説明
100 ホストコンピュータ
200 EC
250 記憶部
255 搬送先決定部
260 退避部
265 搬送禁止部
270 ウエハ処理制御部
275 通信部
280 搬送制御部
300、300a〜300d MC
PS1,PS2 プロセスシップ
PM1,PM2 プロセスモジュール
LLM1,LLM2 ロードロックモジュール
TR 搬送ユニット
AL 位置合わせ機構
CS カセットステージ
C カセット容器
P1〜P25 製品ウエハ
C1,C2 クリーニング用ウエハ
S1,S2 ロット安定ダミーウエハ

Claims (17)

  1. 被処理体に所定の処理を施す複数の処理室と、被処理体を収容する被処理体収容ポートと、前記複数の処理室と前記被処理体収容ポートとの間にて被処理体を所定の搬送先に搬送する搬送機構と、を有する処理システムを制御する装置であって、
    前記複数の処理室のうち正常に稼働している処理室に対して被処理体が順番に搬送されるように前記被処理体収容ポートに収容された被処理体の搬送先を定める搬送先決定部と、
    前記複数の処理室のいずれかが被処理体の搬入を禁止する状態にある場合、前記搬入禁止の処理室を搬送先と定め、かつ前記搬入禁止の処理室に未だ搬入していない被処理体を、一旦、被処理体収容ポートに退避させる退避部と、
    前記搬送先決定部により前記退避後の被処理体の搬送先が新たに定められた場合、新たな搬送先の処理室にて前記退避後の被処理体を処理する直前に実行される処理が所定の条件を満たしているとき、新たな搬送先に前記退避後の被処理体を搬送することを禁止する搬送禁止部と、を備える処理システムの制御装置。
  2. 前記退避後の被処理体の搬送を禁止する所定の条件とは、前記退避後の被処理体を処理する直前に実行される処理によっても前記搬送先の処理室内部が安定状態に整えられていない場合を含む請求項1に記載された処理システムの制御装置。
  3. 前記退避後の被処理体の搬送を禁止する所定の条件とは、前記退避後の被処理体を処理する直前の処理が前記搬送先の処理室内部をクリーニングすべきタイミングであるのにもかかわらず、前記直前の処理がクリーニング処理でない場合を含む請求項2に記載された処理システムの制御装置。
  4. 前記退避後の被処理体の搬送を禁止する所定の条件とは、前記退避後の被処理体を処理する直前の処理が前記搬送先の処理室内部をクリーニングした後、シーズニングすべきタイミングであるのにもかかわらず、前記直前の処理がシーズニング処理でない場合を含む請求項2に記載された処理システムの制御装置。
  5. 前記退避後の被処理体の搬送を禁止する所定の条件とは、前記退避後の被処理体を処理する直前に実行される処理によって前記搬送先の処理室内部が前記退避後の被処理体を含むロットの次のロットを受け入れる状態に整えられた場合を含む請求項1〜4のいずれかに記載された処理システムの制御装置。
  6. 前記退避後の被処理体の搬送を禁止する所定の条件とは、前記退避後の被処理体を処理する直前に実行されるクリーニング処理によって前記搬送先の処理室内部が前記次のロットを受け入れる状態に整えられた場合を含む請求項5に記載された処理システムの制御装置。
  7. 前記退避後の被処理体の搬送を禁止する所定の条件とは、前記退避後の被処理体を処理する直前に実行されるシーズニング処理によって前記搬送先の処理室内部が前記次のロットを受け入れる状態に整えられた場合を含む請求項5に記載された処理システムの制御装置。
  8. 前記搬送先決定部は、
    前記搬送禁止部により搬送を禁止された被処理体の搬送先を、正常に稼働している他の処理室のいずれかに搬送するように定めるか、または異常が発生した処理室の復帰を待って復帰した処理室に搬送するように定める請求項1〜7のいずれかに記載された処理システムの制御装置。
  9. 前記処理システムは、前記複数の処理室の各処理室と前記搬送機構との間にて前記各処理室と前記搬送機構とを連結する前処理室をさらに備え、
    前記退避部は、
    被処理体が前記前処理室に搬入されたとき、被処理体が搬送先の処理室に搬入されたと判定する請求項1〜8のいずれかに記載された処理システムの制御装置。
  10. 前記搬送禁止部は、
    クリーニング用の被処理体が前記搬送機構から前記前処理室に搬入されたとき、前記前処理室に連結した前記処理室にて実行される直前の処理はクリーニング処理であると判定する請求項9に記載された処理システムの制御装置。
  11. 前記搬送禁止部は、
    シーズニング用の被処理体が前記搬送機構から前記前処理室に搬入されたとき、前記前処理室に連結した前記処理室にて実行される直前の処理はシーズニング処理であると判定する請求項9に記載された処理システムの制御装置。
  12. 前記搬送先決定部は、
    被処理体が前記搬送機構から前記前処理室に搬入されるタイミングに連動して、前記被処理体収容ポートに収容された次の被処理体の搬送先を定める請求項9〜11のいずれかに記載された処理システムの制御装置。
  13. 前記搬送先決定部は、
    被処理体が前記被処理体収容ポートから前記搬送機構に搬出されるタイミングに連動して、前記被処理体収容ポートに収容された次の被処理体の搬送先を定める請求項1〜11のいずれかに記載された処理システムの制御装置。
  14. 前記退避部は、
    前記複数の処理室の各処理室の定期メンテナンスまたは前記各処理室に異常が発生した場合、前記各処理室は被処理体の搬入を禁止する状態にあると判定する請求項1〜13のいずれかに記載された処理システムの制御装置。
  15. 前記処理システムは、ウエハまたは基板を処理するシステムである請求項1〜14のいずれかに記載された処理システムの制御装置。
  16. 被処理体に所定の処理を施す複数の処理室と、被処理体を収容する被処理体収容ポートと、前記複数の処理室と前記被処理体収容ポートとの間にて被処理体を所定の搬送先に搬送する搬送機構と、を有する処理システムを制御する方法であって、
    前記複数の処理室のうち正常に稼働している処理室に対して被処理体が順番に搬送されるように前記被処理体収容ポートに収容された被処理体の搬送先を定め、
    前記複数の処理室のいずれかが被処理体の搬入を禁止する状態にある場合、前記搬入禁止の処理室を搬送先と定め、かつ前記搬入禁止の処理室に未だ搬入していない被処理体を、一旦、被処理体収容ポートに退避させ、
    前記退避後の被処理体の搬送先を新たに定め、
    前記新たに定められた搬送先の処理室にて前記退避後の被処理体を処理する直前に実行される処理が所定の条件の場合、新たな搬送先に前記退避後の被処理体を搬送することを禁止する処理システムの制御方法。
  17. 被処理体に所定の処理を施す複数の処理室と、被処理体を収容する被処理体収容ポートと、前記複数の処理室と前記被処理体収容ポートとの間にて被処理体を所定の搬送先に搬送する搬送機構と、を有する処理システムの制御をコンピュータに実行させるために用いられる制御プログラムを記憶した記憶媒体であって、
    前記複数の処理室のうち正常に稼働している処理室に対して被処理体が順番に搬送されるように前記被処理体収容ポートに収容された被処理体の搬送先を定める処理と、
    前記複数の処理室のいずれかが被処理体の搬入を禁止する状態にある場合、前記搬入禁止の処理室を搬送先と定め、かつ前記搬入禁止の処理室に未だ搬入していない被処理体を、一旦、被処理体収容ポートに退避させる処理と、
    前記退避後の被処理体の搬送先を新たに定める処理と、
    前記新たに定められた搬送先の処理室にて前記退避後の被処理体を処理する直前に実行される処理が所定の条件の場合、新たな搬送先に前記退避後の被処理体を搬送することを禁止する処理と、含む制御プログラムを記憶した記憶媒体。
JP2007241537A 2007-09-18 2007-09-18 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体 Active JP4986784B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2007241537A JP4986784B2 (ja) 2007-09-18 2007-09-18 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体
KR1020080072829A KR101020345B1 (ko) 2007-09-18 2008-07-25 처리 시스템의 제어 장치, 처리 시스템의 제어 방법 및제어 프로그램을 기억한 기억 매체
US12/203,509 US8055378B2 (en) 2007-09-18 2008-09-03 Device for controlling processing system, method for controlling processing system and computer-readable storage medium stored processing program
CN2008102156409A CN101393437B (zh) 2007-09-18 2008-09-08 处理系统的控制装置和控制方法
TW097135656A TWI453853B (zh) 2007-09-18 2008-09-17 A control device for a processing system, a control method for a processing system, and a memory medium for a memory control program

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007241537A JP4986784B2 (ja) 2007-09-18 2007-09-18 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体

Publications (3)

Publication Number Publication Date
JP2009076503A JP2009076503A (ja) 2009-04-09
JP2009076503A5 JP2009076503A5 (ja) 2010-09-24
JP4986784B2 true JP4986784B2 (ja) 2012-07-25

Family

ID=40455431

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007241537A Active JP4986784B2 (ja) 2007-09-18 2007-09-18 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体

Country Status (5)

Country Link
US (1) US8055378B2 (ja)
JP (1) JP4986784B2 (ja)
KR (1) KR101020345B1 (ja)
CN (1) CN101393437B (ja)
TW (1) TWI453853B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10503152B2 (en) 2018-03-26 2019-12-10 Kokusai Electric Corporation Method of manufacturing semiconductor device

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5575507B2 (ja) * 2010-03-02 2014-08-20 株式会社日立国際電気 基板処理装置、基板搬送方法、半導体装置の製造方法および基板処理装置のメンテナンス方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) * 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6049394B2 (ja) * 2012-10-22 2016-12-21 東京エレクトロン株式会社 基板処理システム及び基板の搬送制御方法
JP2014116545A (ja) * 2012-12-12 2014-06-26 Tokyo Electron Ltd 基板処理装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP6047408B2 (ja) * 2013-01-17 2016-12-21 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
DE102017210450A1 (de) * 2017-06-21 2018-12-27 Siltronic Ag Verfahren, Steuerungssystem und Anlage zum Bearbeiten einer Halbleiterscheibe sowie Halbleiterscheibe
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07206117A (ja) * 1994-01-14 1995-08-08 Sony Corp 搬送制御方法
JPH0950948A (ja) * 1995-08-08 1997-02-18 Kokusai Electric Co Ltd 半導体製造装置の障害対処システム
TW466622B (en) * 1996-09-11 2001-12-01 Hitachi Ltd Operating method of vacuum processing device and vacuum processing device
US6714832B1 (en) * 1996-09-11 2004-03-30 Hitachi, Ltd. Operating method of vacuum processing system and vacuum processing system
JP3619346B2 (ja) * 1996-09-19 2005-02-09 大日本スクリーン製造株式会社 基板処理装置及び方法
JPH10308352A (ja) * 1997-05-07 1998-11-17 Sumitomo Metal Ind Ltd プラズマ処理方法及び半導体装置の製造方法
JP3384292B2 (ja) * 1997-08-20 2003-03-10 株式会社日立製作所 真空処理装置の運転方法及び真空処理装置
JP2001093791A (ja) 1999-09-20 2001-04-06 Hitachi Ltd 真空処理装置の運転方法及びウエハの処理方法
JP4770035B2 (ja) * 2001-02-23 2011-09-07 東京エレクトロン株式会社 処理システム及び処理システムの被処理体の搬送方法
JP3850710B2 (ja) 2001-10-29 2006-11-29 株式会社日立製作所 真空処理装置の運転方法
JP3916473B2 (ja) * 2002-01-31 2007-05-16 東京エレクトロン株式会社 基板処理装置および基板処理方法
US7010374B2 (en) * 2003-03-04 2006-03-07 Hitachi High-Technologies Corporation Method for controlling semiconductor processing apparatus
JP4307132B2 (ja) * 2003-04-16 2009-08-05 大日本スクリーン製造株式会社 基板処理装置
JP4577886B2 (ja) * 2005-01-21 2010-11-10 東京エレクトロン株式会社 基板搬送処理装置及び基板搬送処理装置における障害対策方法並びに基板搬送処理装置における障害対策用プログラム
JP4356936B2 (ja) * 2005-01-21 2009-11-04 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4542984B2 (ja) * 2005-11-24 2010-09-15 東京エレクトロン株式会社 基板搬送処理装置及び基板搬送処理装置における障害対策方法並びに基板搬送処理装置における障害対策用プログラム

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10503152B2 (en) 2018-03-26 2019-12-10 Kokusai Electric Corporation Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
JP2009076503A (ja) 2009-04-09
US8055378B2 (en) 2011-11-08
US20090076647A1 (en) 2009-03-19
TW200931578A (en) 2009-07-16
KR101020345B1 (ko) 2011-03-08
TWI453853B (zh) 2014-09-21
KR20090029627A (ko) 2009-03-23
CN101393437B (zh) 2012-12-26
CN101393437A (zh) 2009-03-25

Similar Documents

Publication Publication Date Title
JP4986784B2 (ja) 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体
JP5089306B2 (ja) 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体
JP5138322B2 (ja) 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体
KR102490646B1 (ko) 기판 처리 장치의 제어 장치 및 기판 처리 표시 방법
JP2008135517A (ja) 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
JP2014086487A (ja) 基板処理システム及び基板の搬送制御方法
JP5363766B2 (ja) プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体
US8731698B2 (en) Substrate receiving method and controller
US9818629B2 (en) Substrate processing apparatus and non-transitory computer-readable recording medium
JP5571122B2 (ja) 基板処理装置および基板処理装置の制御方法
JP4610317B2 (ja) 基板処理装置及び基板処理装置の基板搬送方法
KR102166968B1 (ko) 처리 방법 및 처리 장치
JP5997542B2 (ja) 真空処理装置及び真空処理方法
JP2009076495A (ja) 真空処理装置
JP5852787B2 (ja) 基板処理装置および基板処理方法
US10553468B2 (en) Substrate storing method and substrate processing apparatus
JP2005129868A (ja) 搬送制御方法
JP2011054679A (ja) 基板処理装置
KR101992825B1 (ko) 기판 처리 장치의 에이징 기판 교체 방법
JP2014120618A (ja) 真空処理装置及び真空処理方法
JP4657528B2 (ja) 処理システムおよび処理方法
US20220187797A1 (en) Substrate treating apparatus and substrate treating method
KR20230138899A (ko) 기판 처리 방법 및 기판 처리 장치

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100811

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100811

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110701

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110715

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110726

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110922

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120327

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120424

R150 Certificate of patent or registration of utility model

Ref document number: 4986784

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150511

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250