TWI453853B - A control device for a processing system, a control method for a processing system, and a memory medium for a memory control program - Google Patents

A control device for a processing system, a control method for a processing system, and a memory medium for a memory control program Download PDF

Info

Publication number
TWI453853B
TWI453853B TW097135656A TW97135656A TWI453853B TW I453853 B TWI453853 B TW I453853B TW 097135656 A TW097135656 A TW 097135656A TW 97135656 A TW97135656 A TW 97135656A TW I453853 B TWI453853 B TW I453853B
Authority
TW
Taiwan
Prior art keywords
processing
processed
evacuation
conveyance
prohibited
Prior art date
Application number
TW097135656A
Other languages
English (en)
Other versions
TW200931578A (en
Inventor
Masahiro Numakura
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200931578A publication Critical patent/TW200931578A/zh
Application granted granted Critical
Publication of TWI453853B publication Critical patent/TWI453853B/zh

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4184Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by fault tolerance, reliability of production system
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31355Fault, if one station defect, stop it, other stations take over
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32243Rerouting parts
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32297Adaptive scheduling, feedback of actual proces progress to adapt schedule
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)

Description

處理系統之控制裝置、處理系統之控制方法及記憶控制程式之記憶媒體
本發明係關於對被處理體施予特定處理之處理系統之控制裝置、處理系統之控制方法及記憶控制程式之記憶媒體,更詳細而言係關於控制被處理體之搬運的方法。
近年來,配置在半導體工場內之處理系統幾乎具有搬運被處理體之搬運機構,和對被處理體施予特定處理之兩個以上之處理室。此時,要如何將各被處理體搬運至多數處理容器,在提升處理系統之處理量,提高生產性上極為重要。在此,為了效率佳處理被處理體,有以依序搬運被處理體至多數處理室之方式,決定被處理體之搬運地點之方法(以下,也稱為OR搬運)。
在OR搬運中,於因故障等使得在任意處理室產生異常之時,預定搬運至產生異常之處理室的被處理體暫時退避至載體,藉由將退避之被處理體搬運至正常之處理室,盡量以不降低系統全體之處理量為佳。在此,自以往提案有藉由處理室之運轉狀況使搬運路徑成為最適合化之技術(例如參照專利文獻1~4)。若藉由此,即使在因任一處理室故障而無法使用之時,亦可以效率佳使用其他處理室而處理被處理體。
〔專利文獻1〕日本特開2001-93791號公報
〔專利文獻2〕日本特開2002-246377號公報
〔專利文獻3〕日本特開2002-252263號公報
〔專利文獻4〕日本特開平11-67869號公報
但是,將暫時退避之被處理體重新搬運至正常之處理室,並也施予所欲之處理時,則有產生不良狀況之情形。例如,於正常之處理室內部無被整理成安定狀態之時,在其處理室施予處理之被處理體有可能成為不良產品,與在相同批量中之其他被處理體之間產生特性上之參差不齊,無法保證其批量全體之品質,故有無法將批量所含之晶圓全體當作商品出貨之虞。
例如,當被處理體之處理到達至各處理室規定之處理片數或處理時間時,反應生成物附著於處理室內壁或處理室內之各構件之溫度等,隨著經過時間變化在處理室內之狀態變化,對此為了整理處理室內之環境,必須執行洗淨。因此,在產品晶圓之處理的間隔有也要搬運洗淨用晶圓之情形。
再者,於上述洗淨後,為了使處理室內之狀態安定化,於執行使用批量安定虛擬晶圓之乾燥處理時,在產品晶圓之處理的間隔也搬運批量安定虛擬晶圓。此時,在洗淨處理後,當將被處理體搬運至乾燥處理前之處理室之時,因正常處理室內部不被整理成安定狀態,故在其處理室施予處理之被處理體有成為產品不良之虞。
再者,運轉中之處理室之內部被整理成接受下一個批量之環境之後,將現批量所含之上述退避之被處理體搬運至其處理室之時,由於處理被搬運之被處理體,使得處理室內部之環境改變,有對下一個批量之處理波及壞影響之虞。例如,以批量處理在洗淨處理完成之方式搬運各晶圓時,則在洗淨後之處理室搬運暫時退避之被處理體之情形。
如上述般,有即使在正常運轉中之處理室,也依據處理被處理體之前刻被實行之處理的內容,以禁止搬運該被處理體為佳的情形。在此,本發明係提供於藉由處理室之運轉狀態使搬運路徑予以最佳化之時,根據在處理室前刻被實行之處理內容,於特定之時禁止搬運至所決定之搬運地點之處理系統之控制裝置、處理系統之控制方法以及記憶有控制程式之記憶媒體。
即是,為了解決上述課題,若藉由本發明之某觀點時,則提供控制處理系統之裝置,該處理系統係具有:對被處理體施予特定處理之複數處理室,和收容被處理體之被處理體收容埠,和在上述複數處理室和上述處理體收容埠之間將被處理體搬運至特定搬運地點的搬運機構。其控制裝置具備有:以被處理體依序被搬運至上述複數處理室中正常運轉之處理室之方式,決定被收容於上述被處理體收容埠之被處理體之搬運地點的搬運地點決定部;和上述 複數處理室中之任一者處在禁止搬入被處理體之狀態時,將上述搬入禁止之處理室認定為搬運地點,並且將還未搬入至上述搬入禁止之處理室的被處理體,暫時退避於被處理體收容埠的退避部;和於藉由上述搬運地點決定部重新決定上述退避後之被處理體之搬運地點時,在新的搬運地點的處理室,處理上述退避後之被處理體之前刻被實行之處理滿足特定條件之時,則禁止上述退避後之被處理體搬運至新的搬運地點的搬運禁止部。
若藉由此,將產生異常等而成為禁止搬入之處理室定為搬運地點,並且將還未搬入至上述搬入禁止之處理室的被處理體暫時退避至被處理體收容埠。之後,於重新決定退避之被處理體之搬運地點之時,在新的搬運地點之處理室,處理退避之被處理體之前刻被實行之處理滿足特定條件之時,則禁止將上述退避後之被處理體搬運至新的搬運地點。
禁止搬運上述退避後之被處理體的特定條件可舉出即使藉由在處理上述退避後之被處理體之前刻被實行的處理,上述搬運地點之處理室內部也不被整理成安定狀態之情形。
更具體而言,可舉出在處理上述退避後之被處理體之前刻的處理不管是否為應洗淨上述搬運地點之處理室內部之時序,上述前刻的處理非洗淨之情形。
再者,可舉出在處理上述退避後之被處理體之前刻的處理不管洗淨上述搬運地點之處理室內部之後是否為乾燥 之時序,上述前刻的處理非乾燥之情形。
此時,上述搬運地點之處理室內部因無被整理成可以處理被處理體程度的良好狀態,故當在其裝置內部處理退避之被處理體時,則有引起產品不良之虞。
再者,禁止搬運上述退避後之被處理體的特定條件之其他一例,可舉出藉由在處理上述退避後之被處理體之前刻被實行的處理,上述搬運地點之處理內部被整理成接受含有上述退避後之被處理體之批量的下一個批量之狀態之情形。
更具體而言,可舉出藉由在處理上述退避後之被處理體之前刻被實行之洗淨處理,上述搬運地點之處理室內部被整理成接受上述下一個批量之狀態之情形。
再者,可舉出在藉由處理上述退避後之被處理體之前刻被實行之乾燥處理,上述搬運地點之處理室內部被整理成接受上述下一個批量之狀態之情形。
此時,上述搬運地點之處理室內部被整理成接受下一個批量之環境,故當在其裝置內部,處理現批量所含之上述退避後之被處理體時,上述搬運地點之處理室內部之環境則改變,於處理現批量或下一個批量之被處理體之時,則有引起產品不良之虞。
如此一來,判斷出當即使在批量中之被處理體產生一個不良品時,在相同批量中之其他已處理完之被處理體之間產生特性上之參差不齊,在後工程中,相同批量所含之被處理體中之至少任一個混有無法確保品質之產品,有無 法將相同批量所含之被處理體全部當作商品出貨之虞。
並且,藉由現批量之退避後之被處理體之處理,因搬運地點之處理室內部之環境多少有點改變,故為了將搬運地點處理室內部整理成接受下一個批量之環境,必須再予以處理,也成為處理系統全體之處理量下降之原因。
依此,若藉由本發明時,即使在正常運轉中之處理室,例如於其內部不被整理成安定狀態之情形,或被整理成接受下一個批量之狀態之情形等,於處理被處理體之前刻被實施的處理相當於特定條件之時,則禁止搬運該被處理體。
即是,通常雖然藉由OR搬運謀求處理之效率化,由於異常使某處理室成為搬入禁止之時,使以搬入禁止為搬運地點之被處理體退避,將退避之被處理體搬運至新的搬運地點之處理設為原則,但是於相當於上述特定條件之時,禁止搬運退避後之被處理體,依此,抑制不良品之產生,藉由防止在相同批量中之被處理體之特性產生參差不齊,可以提高處理系統全體之生產性。
上述搬運地點決定部即使藉由上述搬運禁止部將禁止搬運之被處理體之搬運地點,決定成搬運至正常運轉芝其他處理室中之任一個,或者決定成等待產生異常之處理室之回復而搬運至回覆之處理室亦可。
上述處理系統又具備在上述複數處理室之各處理室和上述搬運機構之間連結上述各處理室和上述搬運機構之前處理室,上述退避部於被處理體被搬入至上述前處理室之 時,即使判定被處理體被搬入至搬入地點地之處理室亦可。或是當被處理體被搬入至任一處理室之時,上述退避部即使決定成被處理體被搬入至搬運地點之處理室亦可。
上述搬運禁止部即使當洗淨用之被處理體從上述搬運機構被搬入至上述前處理室之時,判定在與上述前處理室連結之上述處理室被實行之前刻的處理為洗淨處理亦可。
上述搬運禁止部即使當乾燥用之被處理體從上述搬運機構被搬入至上述前處理室之時,判定在與上述前處理室連結之上述處理室被實行之前刻的處理為乾燥處理亦可。
並且,上述搬運禁止部即使根據配方所示之處理程序,判定在上述處理室被實行之前刻的處理亦可。如此一來,即使不使用批量安定之虛擬晶圓,洗淨或乾燥處理室之時,亦可以正確判斷前刻的處理。
上述搬運地點決定部即使與被處理體與從上述搬運機構被搬入至上述前處理室之時序連動,決定被收容於上述被處理體收容埠之下一個被處理體之搬運地點亦可。
或是,上述搬運地點決定部即使被處理體與從上述被處理體收容埠搬出至上述搬運機構之時序連動,決定被收容於上述被處理體收容埠之下一個被處理體之搬運地點亦可。
上述退避部即使於上述複數處理室之各處理室之定期維修或是在上述各處理室產生異常之時,判定上述各處理室處於禁止搬入被處理體之狀態亦可。並且,處理系統即使為處理晶圓或基板之系統亦可。
為了解決上述課題,若藉由本發明之另外觀點,則提供一種處理系統之控制方法,為控制處理系統之方法,該處理系統係具有:對被處理體施予特定處理之複數處理室,和收容被處理體之被處理體收容埠,和在上述複數處理室和上述處理體收容埠之間將被處理體搬運至特定搬運地點的搬運機構,以被處理體依序被搬運至上述複數處理室中正常運轉之處理室之方式,決定被收容於上述被處理體收容埠之被處理體的搬運地點,上述複數處理室中之任一者處在禁止搬入被處理體之狀態時,將上述搬入禁止之處理室認定為搬運地點,並且將還未搬入至上述搬入禁止之處理室的被處理體,暫時退避於被處理體收容埠,重新決定上述退避後之被處理體之搬運地點,於在上述重新被決定之搬運地點之處理室,處理上述退避後之被處理體之前刻被實行的處理滿足特定條件之時,禁止將上述退避後之被處理體搬運至新的搬運地點。
為了解決上述課題,若藉由本發明之另外觀點,則提供一種記憶媒體,記憶有用於使電腦實行處理系統之控制之控制程式,該處理系統具備:對被處理體施予特定處理之複數處理室,和收容被處理體之被處理體收容埠,和在上述複數處理室和上述被處理體收容埠之間將被處理體搬運至特定搬運地點的搬運機構,記憶有控制程式,該控制程式包含:以被處理體依序被搬運至上述多複數處理室中正常運轉之處理室之方式,決定被收容於上述被處理體收容埠之被處理體的搬運地點之處理;和上述複數處理室中 之任一者處在禁止搬入被處理體之狀態時,將上述搬入禁止之處理室認定為搬運地點,並且將還未搬入至上述搬入禁止之處理室的被處理體,暫時退避於被處理體收容埠之處理;和重新決定上述退避後之被處理體之搬運地點之處理;和於在上述重新被決定之搬運地點之處理室,處理上述退避後之被處理體之前刻被實行的處理滿足特定條件之時,將上述退避後之被處理體搬運至新的搬運地點之處理。
若藉由該些,於將預定搬運至產生異常等之處理室的被處理體搬運至新的搬運地點之時,考慮在搬運地點之處理室前刻被實行之處理內容,於特定之時禁止搬運至搬運地點。依此,可以將處理室內部之狀態保持良好,抑制不良品產生,防止作為處理完之被處理體之產品的特性產生參差不齊。其結果,可以提升良率,提高生產性。
如以上說明般,若藉由本發明,可以藉由製程處理室之運轉狀況,使搬運路徑最適合化之時,根據在處理室前刻被實行之處理內容,於特定之時禁止搬運至被決定之搬運地點。
以下一面參照附件圖面一面針對本發明之較佳實施型態予以詳細說明。並且,在以下之說明及附件圖面中,針 對具有相同構成及功能之構成要素,藉由賦予相同符號,省略重複說明。
〔第1實施型態〕
首先,一面參照第1圖,一面說明本發明之第1實施型態所涉及之處理系統之概要。並且,在本實施型態中,舉出使用處理系統將矽晶圓(以下,也稱為晶圓W)施予蝕刻處理之例予以說明。
(處理系統之概要)
處理系統10具有EC(Equipment Controller:裝置控制器)200、4個MC(Machine Controller:機器控制器)300a~300d、兩個PM1(Process Module:製程模組)、PM2及兩個LLM1(Load Lock Module:裝載鎖定模組)、LLM2。
EC200經顧客端LAN(Local Area Network)700a、700b各連接於主電腦100、管理伺服器600。管理伺服器600連接於PC(Personal Computer)800等之資訊處理機構。操作者藉由操作PC800將指令傳送至處理系統10。EC200、MC300a~300d、PM1、PM2、LLM1、LLM2被設置在工場內,藉由工場內LAN各被連接。
主電腦100係資料管理等之管理處理系統10的全體。EC200係保持表示蝕刻處理晶圓之程序的系統配方,以按照系統配方而使PM1、PM2、LLM1、LLM2動作之方 式,將控制訊號發送至各MC300,並且執行動作後之資料之履歷管理等。
MC300a~300d係保持製程配方,根據自EC200發送之控制訊號,隨著製程配方之程序,各驅動設置在PM1、PM2之各機器,依此控制晶圓W之處理,並且,藉由各驅動設置在LLM1、LLM2之各機器,控制晶圓W之搬運。
PM1、PM2為在將內部保持特定真空狀態之狀態下對晶圓W施予蝕刻處理之特定處理的處理室。LLM1、LLM2為在將內部保持特定減壓狀態之狀態下,自大氣側將晶圓W搬入至處於真空狀態之PM,並且自PM側將晶圓搬出至大氣側之搬運室。管理伺服器600係藉由操作者之操作根據自PC800所發送之資料,設定各裝置之動作條件。
(處理系統之內部構成)
接著,針對處理系統10之內部構成,一面參照第2圖,一面予以說明。處理系統10具有第1製程船(Process-Ship)PS1、第2製程船PS2、搬運單元TR、定位機構AL以及卡匣台CS。
第1製程船PS1具有PM1及LLM1。第2製程船PS2係與第1製程船PS1平行配設,具有PM2及LLM2。LLM1、LLM2係藉由被設置在其兩端之閘閥V之開關,一面調整內部壓力,一面將各搬運臂Arma、Armb所把持之晶圓W自各PM搬運至搬運單元TR或自搬運單元TR搬 運至各PM。
搬運單元TR為矩形之搬運室,連接於第1製程船PS1及第2製程船PS2。在搬運單元TR設置有搬運臂Armc,使用搬運臂Armc,一面與LLM1、LLM2內之搬運臂Arrna、Armb連動一面搬運晶圓W。
在搬運單元TR之一端設置有執行晶圓W之定位的定位機構AL,一面在載置晶圓W之狀態使旋轉台ALa旋轉,一面藉由光學檢測器ALb檢測出晶圓周緣部之狀態,對準晶圓W之位置。
在搬運單元TR之側部設置有卡匣台CS。在卡匣台CS載置有3個卡匣容器C。在各卡匣容器C多段收容多數晶圓W。
藉由如此之構成,各卡匣容器C內之各晶圓W經搬運單元TR自卡匣容器C被搬出,在定位機構AL對準位置之後,製程船PS1、PS2交互一片一片被搬出,通過LLM1、LLM2而被搬運至PM1或是PM2,於蝕刻處理後,經由各機構,再次被收容至任一卡匣容器C。如此一來,將晶圓W一片一片交互搬運至PM1及PM2之方法稱為OR搬運。
並且,PM1、PM2為對被處理體施予特定處理之多數處理室之一例,卡匣台CS(卡匣容器C)為收容被處理體之被處理體收容埠之一例。再者,搬運單元TR係在多數處理室和被處理室收容埠之間,將被處理體搬運至特定搬運地點之搬運機構之一例,EC200(或是MC300)為控制 處理系統10之裝置之一例。LLM1、LLM2為被連結於各處理室和搬運機構之前處理室之一例。
(EC、MC之硬體構成)
接著,針對EC200之硬體構成,一面參照第3圖一面予以說明。並且,MC300之硬體構成因與EC200相同,故在此省略說明。
如第3圖所示般,EC200具有ROM205、RAM210、CPU215、匯流排220、內部介面(內部I/F)225及外部介面(外部I/F)230。
ROM205記錄有控制搬運處理之程式或控制晶圓處理之程式、異常產生時起動之程式、各種配方等。在RAM210存儲有各種程式或資料。並且,ROM205及RAM210為記憶裝置之一例,即使為EEPROM、光碟、光磁碟等之記憶裝置亦可。
CPU215係隨著各種配方控制晶圓之搬運及處理。匯流排220為在ROM205、RAM210、CPU215、內部介面225及外部介面230之各裝置間處理資料之路徑。
內部介面225係輸入資料,將所需之資料輸出至無圖示之螢幕或揚聲器。外部介面230係在藉由LAN等之網絡連接之機器之間發送接收資料。
(EC之機能構成)
接著,針對EC之機能構成,一面參照以區塊表示 EC200之各功能之第4圖一面予以說明。EC200具有藉由記憶部250、搬運地點決定部255、退避部260、搬運禁止部265、晶圓處理控制部270、通訊部275以及搬運控制部280之各區塊所表示之機能。
記憶部250係記憶表示在PM1及PM2對晶圓W施予所欲處理之處理程序的配方群250a(配方a~配方n)。搬運地點決定部255係以對PM1及PM2中正常運轉之PM順序OR搬運晶圓W之方式,決定收容於卡匣台CS之卡匣容器C之晶圓W之搬運地點。
退避部260係於在PM1或是PM2中之任一者處於禁止搬入晶圓W之狀態時,決定成搬運至搬入禁止之PM,並且將還未搬入至搬入禁止之PM之晶圓W暫時退避至卡匣台CS。並且,就以PM禁止搬入晶圓W之狀態的一例而言,可舉出PM之定期維修或PM產生異常(錯誤)之情形。
搬運禁止部265,於藉由搬運地點決定部255重新決定退避後之晶圓W之搬運地點之時,在新的搬運地點的PM,處理上述退避後晶圓W之前刻被實行之處理滿足特定條件之時,禁止將退避後晶圓W搬運至新的搬運地點。
晶圓處理控制部270係自記憶部250選擇由操作者所指定之配方,依照配方之程序,輸出用以控制在各PM所實行之蝕刻處理之驅動訊號。通訊部275主要和MC300接收發送資訊。通訊部275主要將用以處理晶圓之驅動訊 號發送至MC300。
搬運控制部280係依照來自搬運地點決定部255、退避部260、搬運禁止部265之指示,輸出用以將特定晶圓搬運至特定位置之訊號。通訊部275為了指示各晶圓之搬運地點,將上述訊號發送至MC300。
並且,如上述說明之EC200之各部之機能,實際上藉由自ROM205或RAM210等之記憶媒體讀出控制程式,該ROM205或RAM210記憶有記述第3圖之CPU215實現該些機能之處理程序的控制程式(包含配方),並解釋其程式加以實行而達成。例如,在本實施型態中,搬運地點決定部255、退避部260、搬運禁止部265、晶圓處理控制部270及搬運控制部280之各機能實際上藉由CPU215執行記述有實現該些機能之處理程序的程式而達成。
(EC之動作)
接著,針對藉由EC200所實行之搬運處理、晶圓處理、異常發生時插入處理予以說明。第5圖之流程圖所示之搬運處理及第6圖之流程圖所示之晶圓處理,係於每經過特定時間個別起動,第7圖之流程圖所示之異常發生時插入處理係於異常發生時當作插入處理被起動。
當操作者指定配方及批量號碼開啟(ON)批量開始鈕時,投入該批量,調整順序搬運其批量所含之晶圓的準備。與該時序連動而自第5圖之步驟500開始搬運處理,自第6圖之步驟600揭示晶圓處理。
並且,在本實施型態中,如第8圖之下部所示般,各批量含有25片之產品晶圓P1~P25、洗淨處理用之晶圓(洗淨用晶圓C1、C2)、乾燥處理用之晶圓(批量安定虛擬晶圓S1、S2)之3種類之晶圓。
當產品晶圓之處理到達至各PM規定之處理片數或處理時間時,反應生成物附著於PM內壁或PM內之各部之溫度等,隨著經過時間變化在處理室內之狀態變化,對此為了整理處理室內之環境,必須執行洗淨。因此,在本實施型態中,於處理25片之產品晶圓處理後(即是,批量之最後)搬運洗淨用晶圓C1、C2,各洗淨PM1、PM2。依此,PM1、PM2內部之環境被整理成接受下一個批量之狀態。
再者,於上述洗淨後,為了使處理室內之狀態安定化,也有執行使用批量安定虛擬晶圓之乾燥處理之情形。在本實施型態中,於現批量之最初,為了使PM1、PM2安定成可以執行產品晶圓之處理之程度,施予使用批量安定虛擬晶圓S1、S2的乾燥處理。
在PM1及PM2正常運轉之通常狀態中,晶圓W之搬運地點係被決定成一片一片將晶圓W交互搬運搬運(OR搬運)至PM1及PM2。因此,藉由操作者所指定之批量號碼之第一號的批量安定虛擬晶圓S1係將PM1決定成搬運地點,第2號的批量安定虛擬晶圓S2係將PM2決定成搬運地點。
(搬運處理)
搬運處理係自第5圖之步驟500開始,搬運控制部280判定是否有應在步驟505搬運之晶圓。在該時點,因存在應搬運之批量安定虛擬晶圓S1、S2,故搬運控制部280係輸出用以在步驟510將該晶圓S1、S2各搬運至搬運地點PM1、PM2之訊號。該指示訊號係從通訊部275傳送至MC300,藉由MC300之控制,驅動各PM之搬運機構,依此開始各晶圓之OR搬運。並且,於無應搬運之晶圓之時,跳過步驟510前進至下一個步驟515。
搬運地點決定部255係在步驟515判定上述晶圓是否自卡匣容器C被搬出。一般而言,處理系統10比起晶圓處理在晶圓搬運上花較多時間。尤其,於短時間製程之時,該傾向為顯著。依此,在本實施型態之搬運處理中,係以處理完之晶圓藉由搬運速率自PM被搬出之後,至接著應被處理之晶圓被搬入至PM,不產生等待時間之方式,在前晶圓自卡匣容器C被搬出至搬運單元TR之時序決定下一個晶圓之搬運地點。
並且,搬運地點決定部255即使與前晶圓自搬運單元TR被搬入至LLM之時序連動,取代如上述般與上述晶圓自卡匣容器C被搬出至搬運單元TR之時序連動而決定下一個晶圓之搬運地點亦可。
並且,在該時點中,因晶圓S1、S2自卡匣容器C被搬出,故前進至步驟520,搬運地點決定部255判定在所指定之批量中是否有未決定搬運地點之晶圓。在現時點 中,未決定現批量所含之晶圓P1、P2、…、P25、C1、C2之搬運地點。依此,前進至步驟525,搬運地點決定部255係以各晶圓交互被搬運至PM1、PM2之方式,將下一個晶圓P1之搬運地點決定成PM1,前進至步驟595而暫時完成本處理。
並且,在步驟515判定前晶圓不自卡匣容器C搬出之時,及在步驟520判定被指定之批量中無未定搬運地點之晶圓時,則馬上前進至步驟595而暫時完成本處理。
(晶圓處理)
另外,晶圓處理係自第6圖之步驟600開始,晶圓處理控制部270係在步驟605判定新晶圓是否被搬入。於搬入新的晶圓之時,前進至步驟610,晶圓處理控制部270係依照被記憶於記憶部250之配方群250a中,藉由操作者所指定之配方,對晶圓施予蝕刻處理,並前進至步驟695而暫時完成本處理。並且,於不搬入新的晶圓之時,則直接前進至步驟695而暫時完成本處理。
(OR搬運時引起之搬運狀態和課題)
在以上說明之OR搬運中,例如,PM1之配方時間以分為單位較PM2之配方時間長之時,或由於在PM2實施配方之空指令,PM1和PM2之配方時間以分為單位產生差之時,則有自卡匣容器C朝向製程船PS2搬出之晶圓超過已自卡匣容器C朝向製程船PS1搬出之晶圓之情形。
例如,在第12圖所示之製程中,因,PM1之配方時間以分為單位較PM2之配方時間長,自卡匣容器C朝向製程船PS2被搬出之晶圓P20超過已自卡匣容器C朝向製程船PS1被搬出之晶圓P19,比起晶圓P19被搬入至LLM1,晶圓P20係先被搬入至LLM2。
並且,當持續執行OR搬運時,自卡匣容器C搬出產品晶圓P25之後,對製程船PS2洗淨用晶圓C1超過產品晶圓P25而被搬運至LLM2。
針對在如此搬運狀況所產生之課題,一面參照第13圖一面予以說明。如第13圖(a)所示般,在先執行製程船PS2側的處理之狀態下,如第13圖(b)所示般,因於PM1產生故障,故於禁止晶圓搬入至PM1之時,將PM1預定成搬運地點之產品晶圓P25,因為未搬入至PM1之狀態,故暫時返回至卡匣容器C。
在此,當考慮搬運效率時,藉由等待產品晶圓P25至PM1回復為止,將運轉中之PM2設定成產品晶圓P25之新的搬運地點,將產品晶圓P25搬運至製程船PS2,以在PM2對產品晶圓P25施予蝕刻處理為佳。
在此,如第13圖(c)所示般,將產品晶圓P25搬運至製程船PS2,並且當在PM2施予蝕刻處理時,則藉由使用其前刻之洗淨用晶圓C1的洗淨處理,不管PM2內部是否被整理成接受下一個批量之狀態,由於現批量所含之產品晶圓P25之處理,使得PM2之內部環境改變,有對下一個批量之處理造成壞影響之虞。
再者,PM2雖然洗淨處理完成,但是處於乾燥處理前之狀態,故內部之環境不被整理成可以處理產品晶圓P25之程度的安定狀態。其結果,有在PM2施予蝕刻處理之產品晶圓P25成為不良品之虞,判斷在現批量之其他處理完產品晶圓之間產生特性上之參差不齊,在後工程中,現批量所含之產品晶圓之至少中之任一者中,混有無法確保可以當作產品予以出貨之水準品質的產品,有無法將現批量所含之產品晶圓所有當作商品予以出貨之虞。
並且,藉由現批量之產品晶圓之處理,PM2之內部之環境多少有點改變,故為了將PM2之內部整理成接受下一個批量之環境,必須再予以處理。
如此一來,有即使在正常運轉中之PM,也依據處理退避晶圓之前刻被實行之處理的內容,以禁止搬運該晶圓為佳之情形。在此,在本實施型態之異常發生時插入處理中,於將暫時退避之晶圓搬運至新的搬運地點PM之時,考慮在搬運地點PM處理退避晶圓之前刻被實行之處理,於必要之時,禁止將該晶圓搬運至新的搬運地點。
在以下中,針對該異常發生時插入處理,一面參照第7圖所示之流程圖,一面予以說明。並且,於PM異常發生時,通知PM之異常發生之插入訊號從MC300被輸出至EC200。在此,在OR搬運中,在第8圖(b)所示之PM1,於處理產品晶圓P21中在PM1發生異常,自管理PM1之MC300a輸出插入訊號至EC200,藉由接收該插入訊號之EC200之CPU215,實行第7圖之異常發生時插入 處理。
(異常發生時插入處理)
異常發生時插入處理係從步驟700開始,退避部260係將在步驟705產生異常(錯誤)之PM(以下也將發生異常之PM稱為異常PM)設為搬運地點,並且使未搬入之晶圓退避至卡匣容器C。在第8圖(b)中,將異常PM1設為搬運地點,並且使未搬入之產品晶圓P25暫時退避至卡匣容器C。在此,退避部260係以晶圓是否被搬入至LLM來判定晶圓是否為未搬入。即是,當在PM1發生異常時,產品晶圓P21、P23、P24、C1則被判定為搬運完成,產品晶圓P25則被判定為未搬入。
接著,前進至步驟710,搬運禁止部265係於將產品晶圓P25之搬運地點決定成搬運至正常運轉之PM(以下也將正常運轉之PM稱為正常PM)之時,特定在正常PM於退避晶圓之處理之前刻被實行之處理。在第8圖(b)中,因洗淨用晶圓C1被搬入至LLM,故於將退避晶圓P25搬運至PM2之時,於退避晶圓P25之前刻被實行之處理被特定為洗淨處理。
接著,前進至步驟715,搬運禁止部265係根據特定條件,判定被特定之前刻處理的下一個是否為禁止處理該晶圓。作為特定條件之一例,可舉出在處理退避晶圓之前刻被實行之處理中搬運地點PM之內部不被整理成可以處理下一個產品晶圓之安定狀態的情形。
更具體而言,可舉出雖然在應洗淨搬運地點PM內部之時序,但處理退避晶圓之前刻的處理非洗淨處理之情形。再者,可舉出於洗淨搬運地點PM之內部之後,雖然在應乾燥之時序,但處理退避晶圓之前刻的處理非乾燥處理之情形。
此時,搬運地點PM之內部因不被整理成可以處理產品晶圓程度般之良好狀態,故當在其裝置內部處理退避晶圓時則有退避晶圓成為不良品之虞,再者,有現批量所含有之晶圓特性產生參差不齊之虞。
再者,作為禁止搬運退避晶圓的特定條件之其他一例,可舉出藉由在處理上述退避晶圓之前刻被實行的處理,PM內部被整理成接受含有退避晶圓之批量的下一個批量之狀態之情形。
更具體而言,可舉出藉由在處理退避晶圓之前刻被實行之洗淨處理,PM內部被整理成接受上述下一個批量的情形。再者,可舉出藉由在處理退避晶圓之前刻被實行之乾燥處理,PM內部被整理成接受上述下一個批量的情形。
此時,搬運地點PM之內部因被整理成接受下一個批量之環境,故當在其裝置內部處理現批量之晶圓時,則有退避晶圓成為不良品之虞,再者,有現批量所含有之晶圓及下一批量所含之晶圓的特性產生參差不齊之虞。
在上述各情形中,當在相同批量內之晶圓特性產生參差不齊時,則判斷在後工程中,該批量所含之產品晶圓之 至少任一者中,混有無法確保可以當作產品予以出貨水準之品質的產品,有無法將該批量所含之產品晶圓所有當作商品予以出貨之虞。混有不確保品質的產品,有無法將該批量所含之所有產品當作商品予以出貨之虞。
並且,藉由現批量之產品晶圓之處理,因搬運地點PM之內部環境多少有點改變,故為了將搬運地點PM之內部整理成接受下一個批量之環境,必須再予以處理,也成為處理系統10全體之處理量下降之原因。
例如,如第8圖(c)所示之狀況中,將產品晶圓P25搬運至製程船PS2,並且當在PM2施予蝕刻處理時,則藉由使用其前刻之洗淨用晶圓C1的洗淨處理,不管PM2內部是否被整理成接受下一個批量之狀態,由於現批量之產品晶圓P25之處理,使得PM2之內部環境改變,有對下一個批量之處理造成壞影響之虞。
再者,PM2雖然洗淨處理完成,但是因非為乾燥後,故PM2之內部不被整理成可以處理產品晶圓之程度的安定狀態。其結果,在PM2施予蝕刻處理之製品晶圓P25成為不良品,在相同批量中之其他處理完之製品晶圓之間,產生特性上之參差不齊,有無法將現批量所含之所有產品晶圓當作商品予以出貨之虞。
並且,藉由現批量之產品晶圓P25之處理,PM2之內部之環境多少有點改變,故為了將PM2之內部整理成接受下一個批量之環境,必須再予以處理。
在此,在本實施型態所涉及之異常發生時插入處理 中,於以上所示之搬運狀態時,則在第7圖之步驟715,搬運禁止部265判定成「No」,前進至步驟720,搬運地點決定部255於異常PM之回復後,以搬運至回復退避晶圓之PM之方式決定搬運地點。
其結果,如第8圖(d)所示般,退避晶圓P25,被搬運至PM1之回復後LLM1,在PM1被處理。如此一來,則如第8圖之下部所示般,因藉由禁止退避晶圓P25搬運至正常運轉中之PM2,在PM1、PM2現批量之最終處理晶圓皆成為洗淨用晶圓C1、C2,故由於不會使相同批量內之所有產品晶圓特性產生參差不齊,故可以保持高良率。再者,於現批量之處理完成時,所有以之PM內部,因被整理成接受下一個批量之環境,故可以流暢開始下一個批量之處理。
並且,在第7圖之步驟715中,當判定未禁止將退避晶圓搬運至正常PM之時,則前進至步驟725,搬運地點決定部255,將退避晶圓之搬運地點變更成正常PM。其結果,不用等待異常PM之回復可以處理退避晶圓,並且即使於異常時亦可以有效率處理現批量之晶圓。
(其他搬運狀況中之異常產生時插入處理)
接著,針對其他搬運狀況中之異常產生時插入處理予以說明。在此,如第9圖所示般,各批量於批量首先執行使用洗淨用晶圓C1、C2之洗淨處理,接著執行使用批量安定虛擬晶圓S1、S2之乾燥處理,將PM1、PM2之內部 整理成適合於產品晶圓Pn之處理的環境後,搬運25片之產品晶圓P1~P25。
在第9圖(a)中,藉由將晶圓予以OR搬運,並將藉由操作者所指定之批量號碼之洗淨用晶圓C1、C2各搬運至PM1、PM2,接著批量安定虛擬晶圓S1被搬運至PM1,為產品晶圓P1之搬運地點被決定成PM1之狀態。在該狀況中於PM1發生異常。
(異常發生時插入處理)
在此時啟動之第7圖之異常發生時插入處理中,在步驟705中,退避部260係將發生異常之PM1當作搬入地點而待機之產品晶圓P1退避至卡匣容器C,接著,搬運禁止部265在步驟710將產品晶圓P1之搬運地點決定成PM2之時,特定在PM2於退避晶圓之前刻被實行之處理。如第9圖(b)所示般,為於退避晶圓P1之前刻在PM2被實行之處理為洗淨處理。
接著,前進至步驟715,搬運禁止部265係根據特定條件,判定被特定之前刻處理的下一個是否為禁止處理該退避晶圓P1。在本實施型態之時,於洗淨正常PM2之內部之後,必須於乾燥後處理產品晶圓。依此,因於洗淨後,乾燥前之PM2之內部未被整理成可以處理製品晶圓程度之良好狀態,故當在PM2處理退避晶圓P1時,處理後之晶圓P1特性有無法到達可以出貨水準之虞。
在此,在本實施型態所涉及之異常發生時插入處理 中,於以上所示之搬運狀態時,則在第7圖之步驟715,搬運禁止部265判定禁止將退避晶圓P1搬運至正常PM2,前進至步驟720,搬運地點決定部255於異常PM1之回復後,以退避晶圓P1搬運至回復退避晶圓之PM1之方式決定搬運地點,在步驟795暫時完成本處理。
如此一來,則如第9圖之下部所示般,藉由禁止退避晶圓P1搬運至正常運轉中之PM2,PM1、PM2皆依洗淨用晶圓C1、C2、批量安定虛擬晶圓S1、S2之順序被處理之後,處理產品晶圓P1~P25。因此,可以將所有產品晶圓之特性保持良好,並且於現批量所含之產品晶圓不會產生特性上之參差不齊,可以保持高良率。
並且,即使經過特定期間,於異常PM1不回復之時,即使再次起動第7圖之異常發生時插入處理亦可。此時,則如第9圖(c)所示般,設為批量安定虛擬晶圓S2被搬運至PM2之狀態。
此時,因產品晶圓P1已被退避至卡匣容器(步驟705),故前進至步驟710,搬運禁止部265於再次將產品晶圓P1之搬運地點定為正常PM2之時,則特定在PM2於退避晶圓P1之前刻被實行之處理。在該時點,於退避晶圓P1之前刻在PM2所實行之處理為乾燥處理。
在此,搬運禁止部265當判定成不禁止於在步驟715被特定之前刻處理的下一個處理退避晶圓P1,則前進至步驟725,搬運地點決定部255係決定將退避晶圓P1搬運至正常PM2,在步驟795暫時完成本處理。
其結果,如第9圖(d)所示般,PM1、PM2皆依洗淨用晶圓C1、C2、批量安定虛擬晶圓S1、S2之順序被處理之後,處理產品晶圓P1~P25。依此,因應異常PM之回復狀況,可以臨機應變將各晶圓搬運至多數PM,即使在異常時,亦可以維持處理系統全體之高效率。
如上述說明般,若藉由本實施型態所涉及之處理系統10時,於任一個PM發生異常時,即使在正常運轉中之處理室,例如其內部不被整理成安定狀態之情形,或被整理成接受下一個批量之狀態之情形等,於處理晶圓之前刻被實施之處理相當於特定條件之時,則禁止搬運該晶圓。
並且,處理系統10具有3個以上之PM之時,當在步驟715判定禁止退避晶圓搬運至正常PM時,即使將正常運轉之其他PM特定成退避晶圓之新的搬運地點,以取代則在步驟720等待異常PM之回復後將退避晶圓搬運至回復之PM亦可。
再者,退避部260當晶圓被搬入至LLM時,雖然決定晶圓被搬入至搬運地點之PM,但是當晶圓被搬入至任一者之PM時,退避部260即使決定成晶圓被搬入至搬運地點之PM亦可。
並且,搬運禁止部265即使根據配方所示之處理程序,判定在退避晶圓之新的搬入地點之PM被實行之前刻的處理亦可。如此一來,即使不使用批量安定之虛擬晶圓,在無晶圓之狀態下執行洗淨或乾燥PM之時,亦可以正確判斷在搬入地點PM被實行之前刻的處理。
在上述說明之各實施型態中,各部之動作互相關連,可以一面考慮互相之關連,一面置換一連串動作,依此可以將處理系統之控制裝置之實施型態設定成處理系統之控制方法之實施型態。再者,藉由將上述各部之動作與各部之處理置換,可以將處理系統之控制方法之實施型態,設為用以控制處理系統之控制程式之實施型態。再者,藉由使用以控制處理系統之控制程式記憶於電腦可讀取之記錄媒體,可以將用以控制處理系統之控制程式之實施型態設為記錄於控制程式之電腦可讀取之記錄媒體的實施型態。
以上,雖然係一面參照附件圖面一面針對本發明之最佳實施型態予以說明,但是本發明當然並不限定於此例。若為本項技藝者在記載於申請專利範圍之範疇內應該能夠思及各種變更例或是修正例,針對該些變更例或修正例當然也屬於本發明之技術範圍。
(處理系統之變形例1)
例如,實行上述搬運處理(第5圖)、晶圓處理(第6圖)及異常發生時插入處理(第7圖)之處理系統10即使為第10圖所示之構成亦可。處理系統10具有卡匣腔室(C/C)400u1、400u2、傳送室(T/C)400u3、預對準(P/A)400u4、製程腔室(P/C)(=PM)400u5、400u6。
在C/C400u1、400u2收容處理前之晶圓及處理完之晶圓,並且收容有洗淨用晶圓及批量安定虛擬晶圓。 P/A400u4執行晶圓W之定位。
在T/C400u3設置有可伸縮及旋轉之多關節狀之機械臂400u31。機械臂400u31在設置於機械臂400u31之前端的叉桿400u32上保持晶圓,一面適當伸縮及旋轉,一面在C/C400u1、400u2和P/A400u4和P/C400u5、400u6之間搬運晶圓。
藉由如此之構成,處理系統10使用T/C400u3之機械臂400u31自C/C400u1、400u2搬出晶圓,經由T/C400u3、P/A400u4搬入至P/C400u5、400u6,對晶圓施予蝕刻處理等之製程之後,再次經由T/C400u3,搬出至C/C400u1、400u2。
(處理系統之變形例2)
再者,本發明之處理系統10即使為第11圖所示之構成亦可。處理系統10具有對搬運晶圓W之搬運系統H和對晶圓W執行成膜處理或是蝕刻處理等之處理的處理系統S。搬運系統H和處理系統S係經LLM400t1、400t2而連結。
搬運系統H具有卡匣台400H1和搬運台400H2。在卡匣台400H1設置有容器載置台H1a,在容器載置台H1a載置有4個之卡匣容器H1b1~H1b4。各卡匣容器H1b多段收容於處理前之晶圓W、處理完之晶圓及洗淨或乾燥所使用之虛擬處理用之晶圓。
在搬運台400H2可伸縮及旋轉之兩根搬運機械臂 H2a1、H2a2係被支撐成可藉由磁性驅動滑動。搬運機械臂H2a1、H2a2係在安裝於前端之叉桿上保持晶圓W。
搬運台400H2之端部設置有決定晶圓W之定位的定位機構H2b。定位機構H2b係藉由一面在載置晶圓W之狀態使旋轉台H2b1旋轉,一面藉由光學檢測器H2b2,檢測出晶圓W之周緣部之狀態,定位晶圓W之位置。
在LLM400t1、400t2在其內部各設置有載置晶圓W之載置台,並且在其兩端各設置有閘閥t1a、t1b、t1c、t1d。藉由如此之構成,搬運系統H係在卡匣容器H1b1~H1b4和LLM400t1、400t2和定位機構H2b之間搬運晶圓W。
處理系統S設置有傳送室(T/C)(=TM)400t3及6個製程腔室(p/c)400s1~400s6(=PM)。T/C400t3經閘閥s1a~s1f各連結至P/C400s1~400s6。在T/C400t3設置有可伸縮及旋轉之機械臂Sa。
藉由如此之構成,處理系統10使用機械臂Sa將自搬運系統H被搬運至處理系統S之晶圓,使用機械臂Sa,由LLM400t1、400t2經由T/C400t3搬入至P/C400s1~400s6,於對晶圓施予蝕刻處理等之製程之後,再次經由T/C400t3,搬出至LLM400t1、400t2,搬運臂H2a1、H2a2接取此而返回至卡匣容器H1b。
如第10圖及第11圖所示般,即使在存在3個以上PM之處理系統10中,晶圓也順序被搬運至各P/C。如此一來,於順序將晶圓搬運至3以上之PM(處理室)之 時,也包含OR搬運之概念。於此時,藉由實行第7圖之異常發生時插入處理,因應正常運轉之處理室之狀態及發生異常之處理室之狀況,可以臨機應變將各被處理體搬運至多數處理室,即使在異常時,亦可以維持處理系統全體之高效率。
本發明所涉及之處理系統之處理室的數量即使為幾個亦可。再者,本發明所使用之被處理體並不限定於矽晶圓,即使為石英或玻璃等之基板亦可。
再者,作為在處理室實行所欲之處理的裝置一例,可舉出蝕刻裝置、CVD(Chemical Vapor Deposition:化學氣相沈積)裝置、灰化裝置、濺鍍裝置、塗佈顯像裝置、洗淨裝置、CMP(Chemical Mechaniacal Polishing:化學性機械性研磨)裝置、PVD(Physical Vapor Deposition:物理氣相沈積)裝置、曝光裝置、離子植入器等。該些裝置即使藉由微波電漿處理裝置、感應耦合型電漿處理裝置以及電容耦合型電將處理裝置等而被實現亦可。
並且,本發明所涉及之控制裝置即使僅以EC200而被實現化亦可,即使由EC200和MC300被實現化亦可。
100‧‧‧主電腦
200‧‧‧EC
250‧‧‧記憶部
255‧‧‧搬運地點決定部
260‧‧‧退避部
265‧‧‧搬運禁止部
270‧‧‧晶圓處理控制部
275‧‧‧通訊部
280‧‧‧搬運控制部
300、300a~300d‧‧‧MC
PS1、PS2‧‧‧製程船
PM1、PM2‧‧‧製程模組
LLM1、LLM2‧‧‧裝載鎖定模組
TR‧‧‧搬運單元
AL‧‧‧定位機構
CS‧‧‧卡匣台
C‧‧‧卡匣容器
P1~P25‧‧‧產品晶圓
C1、C2‧‧‧洗淨用晶圓
S1、S2‧‧‧批量安定虛擬晶圓
第1圖為本發明之一實施型態所涉及之處理系統之概念圖。
第2圖為同實施型態所涉及之處理系統之內部構成圖。
第3圖為同實施型態所涉及之EC之硬體構成圖。
第4圖為同實施型態所涉及之EC之機能構成圖。
第5圖為表示在同實施型態所實行之搬運處理程序之流程圖。
第6圖為表示在同實施型態所實行之晶圓處理程序之流程圖。
第7圖為表示在同實施型態所實行之異常發生時之插入處理程序之流程圖。
第8圖為同實施型態所涉及之處理系統內之搬運狀態之圖式。
第9圖為同實施型態所涉及之處理系統內之搬運狀態之其他圖式。
第10圖為處理系統之其他內部構成圖。
第11圖為處理系統之其他內部構成圖。
第12圖為表示關連之處理系統內之搬運狀態的圖式。
第13圖為表示關連之處理系統內之搬運狀態的其他圖式。
PM1、PM2‧‧‧製程模組
LLM1、LLM2‧‧‧裝載鎖定模組
TR‧‧‧搬運單元
C‧‧‧卡匣容器
P1~P25‧‧‧產品晶圓
C1、C2‧‧‧洗淨用晶圓
S1、S2‧‧‧批量安定虛擬晶圓

Claims (15)

  1. 一種處理系統之控制裝置,係用以控制處理系統的裝置,該處理系統具有:對被處理體施予特定的處理之複數處理室,和收容被處理體之被處理體收容埠,和在上述複數處理室和上述處理體收容埠之間將被處理體搬運至特定的搬運地點的搬運機構,該處理系統之控制裝置之特徵為:具備搬運地點決定部,其係以被處理體依序被搬運至上述複數處理室中正常運轉之處理室之方式,決定被收容於上述被處理體收容埠之被處理體的搬運地點;和退避部,其係在上述複數處理室中之任一者處在禁止搬入被處理體之狀態的情況下,將上述搬入禁止之處理室認定為搬運地點,並且將還未搬入至上述搬入禁止之處理室的被處理體,暫時退避於被處理體收容埠;和搬運禁止部,其係於藉由上述搬運地點決定部重新決定上述退避後之被處理體之搬運地點之情況下,在新的搬運地點的處理室,處理上述退避後之被處理體之前刻被實行之處理滿足特定條件之時,則禁止搬運上述退避後之被處理體至新的搬運地點,禁止搬運上述退避後之被處理體的特定條件包含以下的情形:即使根據處理上述退避後之被處理體之前刻被實行之處理,上述搬運地點之處理室內部也不被整理成穩定狀態,禁止搬運上述退避後之被處理體的特定條件包含以下 的情形:不管處理上述退避後之被處理體之前刻的處理為應洗淨上述搬運地點之處理室內部之時序,上述前刻的處理非洗淨處理。
  2. 一種處理系統之控制裝置,係用以控制處理系統的裝置,該處理系統具有:對被處理體施予特定的處理之複數處理室,和收容被處理體之被處理體收容埠,和在上述複數處理室和上述處理體收容埠之間將被處理體搬運至特定的搬運地點的搬運機構,該處理系統之控制裝置之特徵為:具備搬運地點決定部,其係以被處理體依序被搬運至上述複數處理室中正常運轉之處理室之方式,決定被收容於上述被處理體收容埠之被處理體的搬運地點;和退避部,其係在上述複數處理室中之任一者處在禁止搬入被處理體之狀態的情況下,將上述搬入禁止之處理室認定為搬運地點,並且將還未搬入至上述搬入禁止之處理室的被處理體,暫時退避於被處理體收容埠;和搬運禁止部,其係於藉由上述搬運地點決定部重新決定上述退避後之被處理體之搬運地點之情況下,在新的搬運地點的處理室,處理上述退避後之被處理體之前刻被實行之處理滿足特定條件之時,則禁止搬運上述退避後之被處理體至新的搬運地點,禁止搬運上述退避後之被處理體的特定條件包含以下的情形:即使根據處理上述退避後之被處理體之前刻被實行之處理,上述搬運地點之處理室內部也不被整理成穩定 狀態,禁止搬運上述退避後之被處理體的條件包含以下的情形:不管處理上述退避後之被處理體之前刻的處理,為洗淨上述搬運地點之處理室內部之後,應予以乾燥之時序,上述前刻的處理非乾燥處理。
  3. 如申請專利範圍第1項所記載之處理系統之控制裝置,其中,上述搬運地點決定部係將藉由上述搬運禁止部被禁止搬運之被處理體之搬運地點,認定為搬運至正常運轉之其他處理室中之任一者,或者認定為等待產生異常之處理室之回復而搬運至回復之處理室。
  4. 如申請專利範圍第1項所記載之處理系統之控制裝置,其中,上述處理系統又具備在上述複數處理室之各處理室和上述搬運機構之間連結上述各處理室和上述搬運機構之前處理室,上述退避係被處理體被搬入至上述前處理室之時,判定被處理體被搬入至搬運地點之處理室。
  5. 如申請專利範圍第4項所記載之處理系統之控制裝置,其中,上述搬運禁止部係當洗淨用之被處理體從上述搬運機構被搬入至上述前 處理室之時,判定在與上述前處理室連結之上述處理室被實行之前刻的處理為洗淨處理。
  6. 如申請專利範圍第4項所記載之處理系統之控制裝置,其中,上述搬運禁止部係判定當乾燥用之被處理體從上述搬運機構被搬入至上述前處理室之時,在與上述前處理室連結之上述處理室被實行之前刻的處理為乾燥處理。
  7. 如申請專利範圍第4項所記載之處理系統之控制裝置,其中,上述搬運地點決定部係與被處理體與從上述搬運機構被搬入至上述前處理室之時序連動,決定被收容於上述被處理體收容埠之下一個被處理體之搬運地點。
  8. 如申請專利範圍第1項所記載之處理系統之控制裝置,其中,上述搬運地點決定部係與被處理體從上述被處理體收容埠被搬出至上述搬運機構之時序連動,決定被收容於上述被處理體收容埠之下一個被處理體之搬運地點。
  9. 如申請專利範圍第1項所記載之處理系統之控制裝置,其中,上述退避部係於上述複數處理室之各處理室之定期維修或是在上述 各處理室產生異常之時,判定上述各處理室處於禁止搬入被處理體之狀態。
  10. 如申請專利範圍第1項所記載之處理系統之控制裝置,其中,上述處理系統為處理晶圓或是基板之系統。
  11. 一種處理系統之控制裝置,係用以控制處理系統的裝置,該處理系統具有:對被處理體施予特定的處理之複數處理室,和收容被處理體之被處理體收容埠,和在上述複數處理室和上述處理體收容埠之間將被處理體搬運至特定的搬運地點的搬運機構,該處理系統之控制裝置之特徵為:具備搬運地點決定部,其係以被處理體依序被搬運至上述複數處理室中正常運轉之處理室之方式,決定被收容於上述被處理體收容埠之被處理體的搬運地點;和退避部,其係在上述複數處理室中之任一者處在禁止搬入被處理體之狀態的情況下,將上述搬入禁止之處理室認定為搬運地點,並且將還未搬入至上述搬入禁止之處理室的被處理體,暫時退避於被處理體收容埠;和搬運禁止部,其係於藉由上述搬運地點決定部重新決定上述退避後之被處理體之搬運地點之情況下,在新的搬運地點的處理室,處理上述退避後之被處理體之前刻被實行之處理滿足特定條件之時,則禁止搬運上述退避後之被處理體至新的搬運地點,禁止搬運上述退避後之被處理體的特定條件,包含以 下的情形:藉由在處理上述退避後之被處理體之前刻被實行的處理,上述搬運地點之處理內部被整理成接受包含上述退避後之被處理體之批量的下一個批量的狀態。
  12. 如申請專利範圍第11項所記載之處理系統之控制裝置,其中,禁止搬運上述退避後之被處理體的特定條件包含以下的情形:藉由處理上述退避後之被處理體之前刻被實行的洗淨處理,上述搬運地點之處理室內部被整理成接受上述下一個批量的狀態。
  13. 如申請專利範圍第11項所記載之處理系統之控制裝置,其中,禁止搬運上述退避後之被處理體的特定條件包含以下的情形:藉由處理上述退避後之被處理體之前刻被實行的乾燥處理,上述搬運地點之處理室內部被整理成接受上述下一個批量的狀態。
  14. 一種處理系統之控制方法,係用以控制處理系統的方法,該處理系統具有:對被處理體施予特定的處理之複數處理室,和收容被處理體之被處理體收容埠,和在上述複數處理室和上述被處理體收容埠之間將被處理體搬運至特定的搬運地點的搬運機構,該處理系統之控制方法之特徵為:以被處理體依序被搬運至上述複數處理室中正常運轉之處理室之方式,決定被收容於上述被處理體收容埠之被處理體的搬運地點, 上述複數處理室中之任一者處在禁止搬入被處理體之狀態的情況下,將上述搬入禁止之處理室認定為搬運地點,並且將還未搬入至上述搬入禁止之處理室的被處理體,暫時退避於被處理體收容埠,重新決定上述退避後之被處理體之搬運地點,於在上述重新被決定之搬運地點之處理室,處理上述退避後之被處理體之前刻被實行之處理滿足特定條件之時,禁止將上述退避後之被處理體搬運至新的搬運地點,禁止搬運上述退避後之被處理體的特定條件包含以下的情形:即使根據處理上述退避後之被處理體之前刻被實行之處理,上述搬運地點之處理室內部也不被整理成穩定狀態,禁止搬運上述退避後之被處理體的特定條件包含以下的情形:不管處理上述退避後之被處理體之前刻的處理為應洗淨上述搬運地點之處理室內部之時序,上述前刻的處理非洗淨處理。
  15. 一種記憶媒體,記憶有用於使電腦實行處理系統之控制的控制程式,該處理系統具有:對被處理體施予特定的處理之複數處理室,和收容被處理體之被處理體收容埠,和在上述複數處理室和上述被處理體收容埠之間將被處理體搬運至特定的搬運地點的搬運機構,該記憶媒體之特徵為:記憶有控制程式,該控制程式包含:以被處理體依序被搬運至上述複數處理室中正常運轉 之處理室之方式,決定被收容於上述被處理體收容埠之被處理體的搬運地點,上述複數處理室中之任一者處在禁止搬入被處理體之狀態的情況下,將上述搬入禁止之處理室認定為搬運地點,並且將還未搬入至上述搬入禁止之處理室的被處理體,暫時退避於被處理體收容埠,重新決定上述退避後之被處理體之搬運地點,於在上述重新被決定之搬運地點之處理室,處理上述退避後之被處理體之前刻被實行之處理滿足特定條件之時,禁止將上述退避後之被處理體搬運至新的搬運地點,禁止搬運上述退避後之被處理體的特定條件包含以下的情形:即使根據處理上述退避後之被處理體之前刻被實行之處理,上述搬運地點之處理室內部也不被整理成穩定狀態,禁止搬運上述退避後之被處理體的特定條件包含以下的情形:不管處理上述退避後之被處理體之前刻的處理為應洗淨上述搬運地點之處理室內部之時序,上述前刻的處理非洗淨處理。
TW097135656A 2007-09-18 2008-09-17 A control device for a processing system, a control method for a processing system, and a memory medium for a memory control program TWI453853B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007241537A JP4986784B2 (ja) 2007-09-18 2007-09-18 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体

Publications (2)

Publication Number Publication Date
TW200931578A TW200931578A (en) 2009-07-16
TWI453853B true TWI453853B (zh) 2014-09-21

Family

ID=40455431

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097135656A TWI453853B (zh) 2007-09-18 2008-09-17 A control device for a processing system, a control method for a processing system, and a memory medium for a memory control program

Country Status (5)

Country Link
US (1) US8055378B2 (zh)
JP (1) JP4986784B2 (zh)
KR (1) KR101020345B1 (zh)
CN (1) CN101393437B (zh)
TW (1) TWI453853B (zh)

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5575507B2 (ja) * 2010-03-02 2014-08-20 株式会社日立国際電気 基板処理装置、基板搬送方法、半導体装置の製造方法および基板処理装置のメンテナンス方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6049394B2 (ja) * 2012-10-22 2016-12-21 東京エレクトロン株式会社 基板処理システム及び基板の搬送制御方法
JP2014116545A (ja) * 2012-12-12 2014-06-26 Tokyo Electron Ltd 基板処理装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP6047408B2 (ja) * 2013-01-17 2016-12-21 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
DE102017210450A1 (de) * 2017-06-21 2018-12-27 Siltronic Ag Verfahren, Steuerungssystem und Anlage zum Bearbeiten einer Halbleiterscheibe sowie Halbleiterscheibe
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP6704008B2 (ja) 2018-03-26 2020-06-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1167869A (ja) * 1997-08-20 1999-03-09 Hitachi Ltd 真空処理装置の運転方法及び真空処理装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07206117A (ja) * 1994-01-14 1995-08-08 Sony Corp 搬送制御方法
JPH0950948A (ja) * 1995-08-08 1997-02-18 Kokusai Electric Co Ltd 半導体製造装置の障害対処システム
TW466622B (en) * 1996-09-11 2001-12-01 Hitachi Ltd Operating method of vacuum processing device and vacuum processing device
US6714832B1 (en) * 1996-09-11 2004-03-30 Hitachi, Ltd. Operating method of vacuum processing system and vacuum processing system
JP3619346B2 (ja) * 1996-09-19 2005-02-09 大日本スクリーン製造株式会社 基板処理装置及び方法
JPH10308352A (ja) * 1997-05-07 1998-11-17 Sumitomo Metal Ind Ltd プラズマ処理方法及び半導体装置の製造方法
JP2001093791A (ja) * 1999-09-20 2001-04-06 Hitachi Ltd 真空処理装置の運転方法及びウエハの処理方法
JP4770035B2 (ja) * 2001-02-23 2011-09-07 東京エレクトロン株式会社 処理システム及び処理システムの被処理体の搬送方法
JP3850710B2 (ja) 2001-10-29 2006-11-29 株式会社日立製作所 真空処理装置の運転方法
JP3916473B2 (ja) * 2002-01-31 2007-05-16 東京エレクトロン株式会社 基板処理装置および基板処理方法
US7010374B2 (en) * 2003-03-04 2006-03-07 Hitachi High-Technologies Corporation Method for controlling semiconductor processing apparatus
JP4307132B2 (ja) * 2003-04-16 2009-08-05 大日本スクリーン製造株式会社 基板処理装置
JP4577886B2 (ja) * 2005-01-21 2010-11-10 東京エレクトロン株式会社 基板搬送処理装置及び基板搬送処理装置における障害対策方法並びに基板搬送処理装置における障害対策用プログラム
JP4356936B2 (ja) * 2005-01-21 2009-11-04 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4542984B2 (ja) * 2005-11-24 2010-09-15 東京エレクトロン株式会社 基板搬送処理装置及び基板搬送処理装置における障害対策方法並びに基板搬送処理装置における障害対策用プログラム

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1167869A (ja) * 1997-08-20 1999-03-09 Hitachi Ltd 真空処理装置の運転方法及び真空処理装置

Also Published As

Publication number Publication date
JP4986784B2 (ja) 2012-07-25
US8055378B2 (en) 2011-11-08
CN101393437A (zh) 2009-03-25
KR20090029627A (ko) 2009-03-23
JP2009076503A (ja) 2009-04-09
US20090076647A1 (en) 2009-03-19
TW200931578A (en) 2009-07-16
KR101020345B1 (ko) 2011-03-08
CN101393437B (zh) 2012-12-26

Similar Documents

Publication Publication Date Title
TWI453853B (zh) A control device for a processing system, a control method for a processing system, and a memory medium for a memory control program
JP5089306B2 (ja) 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体
JP2644912B2 (ja) 真空処理装置及びその運転方法
KR101715440B1 (ko) 기판 처리 시스템 및 기판의 반송 제어 방법
US8731698B2 (en) Substrate receiving method and controller
KR101849735B1 (ko) 기판 처리 장치, 기판 반송 방법 및 기억 매체
JP2010016179A (ja) プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体
JP5997542B2 (ja) 真空処理装置及び真空処理方法
JP2011054679A (ja) 基板処理装置
JP5852787B2 (ja) 基板処理装置および基板処理方法
US10553468B2 (en) Substrate storing method and substrate processing apparatus
KR101992825B1 (ko) 기판 처리 장치의 에이징 기판 교체 방법
JPH11121587A (ja) 基板処理装置
JP2005129868A (ja) 搬送制御方法
JP2014120618A (ja) 真空処理装置及び真空処理方法
TW202011508A (zh) 基板處理裝置以及基板處理方法
JP4657528B2 (ja) 処理システムおよび処理方法
JP3404392B2 (ja) 真空処理装置及び真空処理方法
JP2000216221A (ja) 真空処理装置用の基板搬送方法
JPH07335708A (ja) 真空処理装置
JP2004119614A (ja) 半導体製造装置
JP2004247741A (ja) 基板処理装置及び基板の処理方法
JP2008109157A (ja) 真空処理装置
JP2004207752A (ja) 真空処理装置及び基板の搬送処理方法
JP2000223550A (ja) 真空処理装置における基板の処理方法