JP2000269163A - 金属膜の形成方法及び配線の形成方法 - Google Patents

金属膜の形成方法及び配線の形成方法

Info

Publication number
JP2000269163A
JP2000269163A JP11072948A JP7294899A JP2000269163A JP 2000269163 A JP2000269163 A JP 2000269163A JP 11072948 A JP11072948 A JP 11072948A JP 7294899 A JP7294899 A JP 7294899A JP 2000269163 A JP2000269163 A JP 2000269163A
Authority
JP
Japan
Prior art keywords
metal
film
wiring
forming
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11072948A
Other languages
English (en)
Inventor
Hajime Yagi
肇 矢木
Hisayoshi Yamoto
久良 矢元
Hideo Yamanaka
英雄 山中
Yuichi Sato
勇一 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP11072948A priority Critical patent/JP2000269163A/ja
Publication of JP2000269163A publication Critical patent/JP2000269163A/ja
Pending legal-status Critical Current

Links

Abstract

(57)【要約】 【課題】 金属(あるいは金属化合物)膜をエッチング
によるパターニングなしに選択的に形成することのでき
る方法、及びこの方法を利用した配線の形成方法の提供
が望まれている。 【解決手段】 基層1上に、基層1表面を露出させる開
口部2を有したマスク3を形成し、このマスク3の開口
部2内にて露出した基層1表面上に、触媒CVD法によ
り選択的に金属あるいは金属化合物を堆積して金属膜4
を形成する、金属膜の形成方法。また、この金属膜の形
成方法を利用した配線の形成方法。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、化学的気相成長方
法(CVD法)を用いた金属膜の形成方法に係り、詳し
くは触媒体により原料ガスを活性化させて金属あるいは
金属化合物の成膜を行う、触媒CVD法を用いた金属膜
の形成方法、及び配線の形成方法に関する。
【0002】
【従来の技術】半導体製造技術において、特に配線など
として用いる金属あるいは金属化合物を成膜するには、
物理的気相成長法の代表的なものであるスパッタ法や、
メタルCVD(化学的気相成長)法が一般に採用されて
いる。
【0003】スパッタ法は、真空中でイオンを加速して
ターゲットに衝突させ、その衝撃ではじき出されたター
ゲットの原子、分子を基板上に堆積させ、成膜する方法
であり、配線用のアルミニウムの成膜などに用いられて
いる。メタルCVD法は、ブランケットW−CVDやT
i−CVDなどが超LSIにおける多層配線などに利用
されており、現在ではAl、CuまたはWNに対するC
VDの開発も行われている。
【0004】ところで、このようなスパッタ法やメタル
CVD法などの成膜方法を利用して配線を形成する場
合、金属(あるいは金属化合物)を成膜して金属(ある
いは金属化合物)膜を形成し、その後、この膜をパター
ニングして配線を得るのが普通である。すなわち、スパ
ッタ法やメタルCVD法によって得られた金属(あるい
は金属化合物)膜にリソグラフィー技術やエッチング技
術によって微細加工を施し、所望の配線形状にパターニ
ングするのである。
【0005】しかして、半導体製造技術においては、低
コスト化や生産性向上の要求がますます強くなってきて
おり、そのプロセスにおいても工程の簡略化やその削減
が望まれている。
【0006】また、半導体装置の応答性を向上するべ
く、金や白金などの低抵抗の貴金属を配線として用いる
ことも検討されているが、これら貴金属は化学的に安定
であり、RIE等の化学反応を利用した微細加工が困難
である。このような背景から配線の形成についても、成
膜後パターニングするのでなく、これを配線パターンと
なるように選択的に成膜し、パターニング工程を無くす
ことが考えられている。
【0007】
【発明が解決しようとする課題】しかしながら、金属
(あるいは金属化合物)を選択的に成膜する技術として
は、接続孔底部のSiや金属にのみWを成長させる選択
W技術などが提供されているだけであり、W以外の金属
をも選択的に成膜することのできる、汎用的な金属膜の
形成方法の提供はなされていないの実状である。
【0008】本発明は前記事情に鑑みてなされたもの
で、その目的とするところは、金属(あるいは金属化合
物)膜をエッチングによるパターニングなしに選択的に
形成することのできる方法、及びこの方法を利用した配
線の形成方法を提供することにある。
【0009】
【課題を解決するための手段】本発明の金属膜の形成方
法では、基層上に、該基層表面を露出させる開口部を有
したマスクを形成する工程と、前記マスクの開口部内に
て露出した前記基層表面上に、触媒CVD法により選択
的に金属あるいは金属化合物を堆積して金属膜を形成す
る工程と、を備えてなることを前記課題の解決手段とし
た。
【0010】この金属膜の形成方法によれば、例えば基
層としてシリコン、サファイヤ、スピネル、金属または
この金属の化合物から選択されたものを用い、マスクを
酸化シリコン、窒化シリコン、酸化窒化シリコンのうち
の少なくとも一種から形成し、また、触媒CVD法を行
う際の原料ガスとして水素を用いることにより、触媒体
で熱分解されて活性化し、高エネルギーを持つ水素原子
または水素原子の集団が有する選択的エッチング作用に
より、マスク上には金属あるいは金属化合物の堆積があ
る時間起こらず、一方基層上には金属あるいは金属化合
物が選択的に堆積する。
【0011】また、触媒CVD法では、原料ガスを化学
反応させるエネルギーについては基本的に触媒体によっ
て供給し、基層での必要なエネルギーは生成した金属あ
るいは金属化合物の原子(分子)または原子(分子)の
集団を基層表面上に堆積させる分だけであるため、この
基層自体の加熱温度を例えば200〜300℃程度の低
温にすることが可能になる。
【0012】本発明における請求項6記載の配線の形成
方法では、基層上に、該基層表面を露出させるとともに
配線パターン形状となる開口部を有したマスクを形成す
る工程と、前記マスクの開口部内にて露出した前記基層
表面上に、触媒CVD法により選択的に金属あるいは金
属化合物を堆積して配線を形成する工程と、を備えてな
ることを前記課題の解決手段とした。
【0013】この配線の形成方法によれば、例えば基層
としてシリコン、サファイヤ、スピネル、金属またはこ
の金属の化合物から選択されたものを用い、マスクを酸
化シリコン、窒化シリコン、酸化窒化シリコンのうちの
少なくとも一種から形成し、また、触媒CVD法を行う
際の原料ガスとして水素を用いることにより、前述した
ように配線パターン形状となる開口部内の基層上に、金
属あるいは金属化合物を選択的に堆積して配線を形成す
ることが可能になる。また、前述したように、この配線
形成の際の基層自体の加熱温度を例えば200〜300
℃程度の低温にすることが可能になる。
【0014】本発明における請求項11記載の配線の形
成方法では、絶縁膜上にポリシリコンからなる配線パタ
ーンを形成する工程と、触媒CVD法により前記配線パ
ターン上に選択的に金属あるいは金属化合物を堆積し、
配線を形成する工程と、を備えてなることを前記課題の
解決手段とした。
【0015】この配線の形成方法によれば、例えば絶縁
層を酸化シリコン、窒化シリコン、酸化窒化シリコンの
うちの少なくとも一種から形成し、また、触媒CVD法
を行う際の原料ガスとして水素を用いることにより、前
述したようにポリシリコンからなる配線パターン上に金
属あるいは金属化合物を選択的に堆積し、配線を形成す
ることが可能になる。また、前述したように、この配線
形成の際の基層自体の加熱温度を例えば200〜300
℃程度の低温にすることが可能になる。
【0016】
【発明の実施の形態】以下、本発明を詳しく説明する。
図1は、本発明における請求項1記載の金属膜の形成方
法の一実施形態例を説明するための図である。図1に示
した例は、基層上に金属、金属化合物の膜としてチタン
(Ti)と窒化チタン(TiN)とをこの順に形成する
場合の例を示す図であり、図1中符号1はシリコン基板
である。なお、このシリコン基板1は本発明における基
層となるものである。
【0017】本例では、まず、図1(a)に示すように
シリコン基板1表面を露出させた開口部2を有するマス
ク3を形成する。このマスク3については、シリコン基
板1上に酸化シリコン、窒化シリコン、酸化窒化シリコ
ンのうちの少なくとも一種からなる膜(図示略)をCV
D法等によって形成し、その後公知のリソグラフィー技
術、エッチング技術によってパターニングすることによ
って得る。
【0018】なお、このマスク3については、その開口
部2の形状について特に限定されることなく、形成する
金属(あるいは金属化合物)膜の用途に応じて適宜に形
成することができ、例えば金属(あるいは金属化合物)
膜をそのまま配線として用いたい場合には、この開口部
2の形状を配線パターン形状とすればよい。
【0019】次に、このようにしてマスク3を形成した
シリコン基板1を希フッ酸(1〜5%水溶液)で洗浄
し、マスク3の開口部2内に露出したシリコン基板1表
面の薄い酸化膜(自然酸化膜)を除去する。続いて、純
水で洗浄し乾燥する。次いで、図2に示す触媒CVD装
置50により、触媒CVD法によって選択的にTi、T
iNをこの順に堆積する。
【0020】ここで、図2に示した触媒CVD装置50
についてその概略構成を説明すると、この触媒CVD装
置50は、被処理体の処理を行う反応室51と、これに
通じる前室52とを備えて構成されたもので、反応室5
1にはターボ分子ポンプ53、ロータリーポンプ54が
この順に接続され、同様に前室52にもターボ分子ポン
プ55、ロータリーポンプ56がこの順に接続されてい
る。
【0021】反応室51には、後述する反応ガス制御系
を介して堆積用原料ガス供給源(図示略)に接続した原
料ガス配管57が設けられており、この原料ガス配管5
7から反応室51内に堆積用原料ガスが供給されるよう
になっている。また、反応室51内においては、その上
部に被処理体となるシリコン基板1をセットするための
基板ホルダ(サセプタ)58が設けられており、この基
板ホルダ58にはヒータ59、熱電対60が設けられて
いる。
【0022】このような構成のもとに基板ホルダ58で
は、ヒータ59によって基板ホルダ58を介して試料
(シリコン基板1)を加熱できるようになっており、ま
た熱電対60によって基板ホルダ58の温度を検知して
ヒータ59による加熱の度合いを制御できるようになっ
ている。なお、前記基板ホルダ58としては、例えばア
ルミニウム製サセプタが用いられる。
【0023】この基板ホルダ58の下方にはシャッター
61が配設されており、さらにその下方には触媒体62
が配設されている。触媒体62は、例えばタングステン
細線をコイル状に巻回したフィラメントからなるもの
で、反応室51の外に配置された電源63に接続され、
これから電力が供給されることによって1600〜18
00℃程度にまで加熱保持されるようになっている。ま
た、この触媒体62は、前記原料ガス配管58の反応室
51内における原料ガス供給口(図示略)の上方に配置
されたもので、原料ガス配管58から供給された堆積用
原料ガスを加熱してこれを分解、活性化させるようにな
っている。
【0024】なお、原料ガス配管57が接続する反応ガ
ス制御系は、本例ではTiCl4 、NH3 、H2 の各ガ
ス供給源がそれぞれ配管で反応室51と排気ポンプ(図
示略)とに接続されて構成されたもので、各反応ガスの
配管中にマスフローコントローラ(MFC)(図示略)
と調整弁(図示略)とが設けられ、これにより反応室5
1内へのガスの供給とその停止や、その流量の制御がな
されるようになっている。
【0025】このような構成の触媒CVD装置50によ
り、前述したようにシリコン基板1表面上にTi、Ti
Nを選択的に堆積するには、、マスク3を形成した後洗
浄、乾燥したシリコン基板1を、触媒CVD装置50の
前室52を経由して基板ホルダ58にセットする。次
に、ターボ分子ポンプ55、ロータリーポンプ56を作
動させて反応室51内を1〜2×10-6Pa程度にまで
減圧し、この状態を約5分保持して特に反応室51内に
持ち込まれた水分や酸素を排気する。
【0026】次いで、ヒータ59により基板ホルダ58
を介してシリコン基板1を200℃に加熱保持する。ま
た、反応室51内に前記反応ガス制御系から水素を流
し、その流量と反応室51内の圧力とを所定の値に制御
する。反応室51内の圧力については1〜15Pa程度
とし、本例では10Paに設定する。次いで、電源63
をオンにすることによって触媒体62に通電し、その温
度を1600〜1800℃程度に上げる。本例では17
00℃に設定する。そして、この状態で10分間保持す
る。
【0027】次いで、前記反応ガス制御系からTiCl
4 についてもこれを反応室51内に導入する。すなわ
ち、本例では、水素流量を150sccmとし、TiC
4 流量を15sccmとすることによって原料ガスを
反応室51内に供給する。
【0028】このようにして原料ガスを反応室51内に
供給すると、触媒体62によって加熱され活性化された
水素原子は酸化シリコン膜をエッチングすることから、
マスク3の開口部2内に臨むシリコン基板1表面では、
ここに形成された厚さ1.5〜1.8nm程度の薄い自
然酸化膜がエッチング除去される。そして、自然酸化膜
が除去されて露出したシリコン基板1表面に、Tiが5
0nm/min程度の成膜速度で堆積する。本例では、
原料ガスを1分間反応室51内に導入して成膜すること
により、図1(b)に示すように厚さ50nmのTi膜
4を形成した。
【0029】また、マスク3上においては、触媒体62
によって活性化された水素原子が該マスク3の表面をエ
ッチングすることから、ある時間内ではこの表面にTi
が堆積することがなく、したがって前記Ti膜4はシリ
コン基板1表面上に選択的に形成されたものとなる。
【0030】ここで、前述の、「マスク3上において
は、ある時間内ではこの表面にTiが堆積することがな
い」とした意味は、反応室51内にある異物や原料ガス
中の異物などがマスク3表面に付着すると、これを核に
してマスク3表面にTiが堆積することがあるからであ
り、「このような核となる異物等のマスク3表面への付
着が起こる時間内においては、該マスク3表面にTiが
堆積することがない」との意味である。
【0031】次いで、前記反応ガス制御系からNH3
ついてもこれを反応室51内に導入する。すなわち、本
例では、水素流量を150sccmとし、TiCl4
量を15sccm、NH3 を30sccmとすることに
よって原料ガスを反応室51内に供給する。
【0032】このようにして原料ガスを反応室51内に
供給すると、先のTi膜4の形成と同様に、マスク3の
開口部2内に露出するTi膜4上にのみTiNが60n
m/min程度の成膜速度で選択的に堆積する。本例で
は、原料ガスを2分間反応室51内に導入して成膜する
ことにより、図1(c)に示すように厚さ120nmの
TiN膜5を形成した。
【0033】なお、この場合においては、Ti膜4が本
発明における基層として機能するものとなる。また、マ
スク3上においては、先のTi膜4の形成の場合と同様
に、触媒体62によって活性化された水素原子が該マス
ク3の表面をエッチングすることから、ある時間内では
この表面にTiNが堆積することがなく、したがって前
記TiN膜5もTi膜4表面上に選択的に形成されたも
のとなる。
【0034】このようにしてシリコンを選択的にエピタ
キシャル成長させたら、前記反応ガス制御系によってT
iCl4 、NH3 の各ガスの流量をゼロにし、水素ガス
のみを流し続ける。そして、この状態を5分間続けた
ら、触媒体62への電力供給を停止してその温度を下げ
る。次いで、水素ガスの流量もゼロにし、さらに反応室
51内を1〜2×10×10-6Pa程度にまで減圧し、
この状態を約5分保持して特にチャンバー内に導入した
TiCl4 、NH3 を排気する。その後、シリコン基板
1を前室52を経由して大気圧の外部に取り出す。
【0035】なお、前記例ではTi膜4の形成に原料ガ
スとしてTiCl4 と水素とを用いたが、この水素に代
えて塩化水素(HCl)や塩素(Cl2 )、臭化水素
(HBr)や臭素(Br2 )を用いることもできる。
【0036】このような金属膜(Ti膜4)および金属
化合物膜(TiN膜5)の形成方法にあっては、触媒体
62で熱分解して活性化した、高エネルギーを持つ水素
原子または水素原子の集団が選択的エッチング作用を有
することを利用することにより、マスク3上に堆積させ
ることなくシリコン基板1の表面あるいは金属膜(Ti
膜4)表面にのみ金属(Ti)あるいは金属化合物(T
iN)を選択的に成膜することができる。
【0037】また、触媒体62で原料ガスを活性化させ
るため、シリコン基板1から供給するエネルギーを少な
くすることができ、したがってシリコン基板1の温度を
200℃といった低温にすることができる。
【0038】なお、前記例では、シリコン基板1、さら
にはこのシリコン基板1上に形成したTi膜4を本発明
における基層としたが、本発明はこれに限定されること
なく、サファイヤ基板やスピネル基板、さらにはTi以
外の種々の金属やこれら金属およびTiの化合物(例え
ば窒化物)などを基層として用いることもきる。
【0039】また、前記例では、堆積成膜する金属、金
属化合物としてTi、TiNを選んだが、他に例えば、
金(Au)、白金(Pt)、パラジウム(Pd)、イリ
ジウム(Ir)、ルテニウム(Ru)、アルミニウム
(Al)、銅(Cu)、コバルト(Co)、チタン(T
i)、タンタル(Ta)、モリブデン(Mo)、窒化チ
タン(TiN)、窒化タンタル(TaN)、酸化窒化チ
タン(TiON)、酸化窒化タンタル(TaON)、チ
タンタングステン(TiW)、窒化タングステン(W
N)、タングステンシリサイド(WSix )などを選
び、これを選択的に成膜することもできる。
【0040】ここで、このような金属あるいは金属化合
物を成膜する際の原料ガスとしては、例えば以下のよう
なものが用いられる。 ・白金(Pt) ;Ptシクロペンタジエン+H2 ・イリジウム(Ir) ;Irシクロペンタジエン+H2 ・ルテニウム(Ru) ;Bis(cyclopentadienly)ruthenium〔(Cp)2Ru 〕+H2 ・アルミニウム(Al);三塩化アルミニウム〔AlCl3 〕+H トリメチルアルミニウム〔Al(CH3 〕+H
2 ・銅(Cu) ;Cu Hexafluoroacetylacetonate〔Cu(HFA)2 〕 +H2 Cu acetylacetonate〔Cu(AA)2 〕+H2 ・チタン(Ti) ;TiCl4 +H2 ・タンタル(Ta) ;TaCl5 +H2 ・コバルト(Co) ;Bis(tetramethylheptanedionate)cobalt 〔(C11192 2 Co〕+H2 ・窒化チタン(TiN) ;TiCl4 +NH3 +H2 ・窒化タンタル(TaN);TaCl5 +NH3 +H2 ・酸化窒化チタン(TiON) ;TiCl4 +NH3 +H2 +H2 O ・酸化窒化タンタル(TaON);TaCl5 +NH3 +H2 +H2 O ・タングステン(W) ;WF6 +H2 ・モリブデン(Mo) ;MoF6 +H2 ・チタンタングステン(TiW);TiCl4 +WF6 +H2 ・窒化タングステン(WN);WF6 +NH3 +H2 ・タングステンシリサイド(WSix );WF6 +SiH4 +H2
【0041】次に、本発明における請求項11記載の配
線の製造方法の一実施形態例について、図3を参照して
説明する。まず、図3(a)に示すように半導体素子
(図示略)を形成したシリコン基板10を用意し、この
シリコン基板10上に、前記半導体素子を覆った状態に
酸化シリコン膜(絶縁層)11を熱酸化法や熱CVD法
等によって形成する。続いて、公知のリソグラフィー技
術、エッチング技術によって酸化シリコン膜11の所定
箇所を開口し、前記半導体素子の配線取り出し部(図示
略)を露出させる。
【0042】次いで、プラズマCVD法等によって前記
シリコン基板10の酸化シリコン膜11上にポリシリコ
ン膜(図示略)を形成し、さらにこれを公知のリソグラ
フィー技術、エッチング技術によってパターニングし、
図3(b)に示すように配線パターン12を形成する。
【0043】なお、この配線パターン12については、
前述した半導体素子の配線取り出し部と接続するように
形成配置する。また、この配線パターン12となるポリ
シリコンについては、これを成膜した際に、あるいは成
膜した後に不純物を導入・拡散しておき、その導電性を
高めておく。
【0044】次いで、図2に示した触媒CVD装置50
を用い、図1に示した例と同様の操作によって成膜を行
う。ただし、本例においては選択的に成膜する材料を銅
とし、原料ガスとしてCu(HFA)2 とH2 とを用い
た。
【0045】このようにして成膜を行うと、触媒体62
によって加熱され活性化された水素原子が酸化シリコン
膜11をエッチングすることから、この酸化シリコン膜
11表面には銅が堆積せず、したがって、水素原子によ
って実質的にエッチングされないポリシリコン製の配線
パターン12上にのみ選択的に銅が堆積し、銅膜13が
得られる。そして、このようにポリシリコンからなる配
線パターン12上に該配線パターン12と同じパターン
の銅膜13が積層されることにより、配線パターン12
と銅膜13との積層構造によってなる配線14が得られ
る。
【0046】このような配線の形成方法にあっては、ポ
リシリコンからなる配線パターン12上に銅膜13を選
択的に堆積し、配線パターン12と銅膜13との積層構
造によってなる配線14を形成するので、この配線14
を例えばポリシリコン一層による配線の場合に比べ格段
に低抵抗のものとすることができる。また、前述したよ
うに、この配線14形成の際のシリコン基板10自体の
加熱温度を200〜300℃程度の低温にすることがで
きることから、シリコン基板10に形成した半導体素子
(図示略)における不純物の再拡散を抑えることができ
るなど、高温処理に伴う半導体素子への悪影響を防ぐこ
とができる。
【0047】
【発明の効果】以上説明したように本発明の金属膜の形
成方法は、マスクの開口部内にて露出した基層表面上
に、触媒CVD法により選択的に金属あるいは金属化合
物を堆積して金属膜を形成する方法であるから、例えば
基層としてシリコン、サファイヤ、スピネル、金属また
はこの金属の化合物から選択されたものを用い、マスク
を酸化シリコン、窒化シリコン、酸化窒化シリコンのう
ちの少なくとも一種から形成し、また、触媒CVD法を
行う際の原料ガスとして水素を用いることにより、触媒
体で熱分解されて活性化し、高エネルギーを持つ水素原
子または水素原子の集団が有する選択的エッチング作用
により、マスク上に金属あるいは金属化合物の堆積をあ
る時間起こすことなく、基層上に金属あるいは金属化合
物を選択的に堆積して不純物をほとんど含むことのない
金属膜あるいは金属化合物膜を形成することができる。
【0048】また、触媒CVD法では、原料ガスを化学
反応させるエネルギーについては基本的に触媒体によっ
て供給し、基層での必要なエネルギーは生成した金属あ
るいは金属化合物の原子(分子)または原子(分子)の
集団を基層表面上に堆積させる分だけであるため、この
基層自体の加熱温度を例えば200〜300℃程度の低
温にすることができる。
【0049】本発明における請求項6記載の配線の形成
方法は、例えば基層としてシリコン、サファイヤ、スピ
ネル、金属またはこの金属の化合物から選択されたもの
を用い、マスクを酸化シリコン、窒化シリコン、酸化窒
化シリコンのうちの少なくとも一種から形成し、また、
触媒CVD法を行う際の原料ガスとして水素を用いるこ
とにより、配線パターン形状となる開口部内の基層上
に、金属あるいは金属化合物を選択的に堆積して配線を
形成するようにした方法であるから、エッチング等によ
るパターニングなしに低抵抗の配線を形成することがで
きることにより、プロセスを簡略化して低コスト、生産
性の向上を図ることができる。
【0050】また、この形成方法により、金、白金、パ
ラジウム、イリジウム等の貴金属からなる配線を、微細
加工することなく所望する位置に所望する寸法(幅、厚
さ)で形成することができる。さらに、この配線形成の
際の基層自体の加熱温度を例えば200〜300℃程度
の低温にすることができる。
【0051】本発明における請求項11記載の配線の形
成方法は、例えば絶縁層を酸化シリコン、窒化シリコ
ン、酸化窒化シリコンのうちの少なくとも一種から形成
し、また、触媒CVD法を行う際の原料ガスとして水素
を用いることにより、前述したようにポリシリコンから
なる配線パターン上に金属あるいは金属化合物を選択的
に堆積し、配線を形成するようにした方法であるから、
エッチング等によるパターニングなしに低抵抗の配線を
形成することができることにより、プロセスを簡略化し
て低コスト、生産性の向上を図ることができる。
【0052】また、この形成方法にあっても、金、白
金、パラジウム、イリジウム等の貴金属からなる配線
を、微細加工することなく所望する位置に所望する寸法
(幅、厚さ)で形成することができる。さらに、この配
線形成の際の基層自体の加熱温度を例えば200〜30
0℃程度の低温にすることができる。
【図面の簡単な説明】
【図1】(a)〜(c)は本発明の金属膜の形成方法の
一実施形態例を工程順に説明するための要部側断面図で
ある。
【図2】本発明に用いられる触媒CVD装置の概略構成
図である。
【図3】(a)〜(c)は本発明の配線の形成方法一実
施形態例を工程順に説明するための要部側断面図であ
る。
【符号の説明】
1,10…シリコン基板、2…開口部、3…マスク、4
…Ti膜、5…TiN膜、11…酸化シリコン膜、12
…配線パターン、13…銅膜、14…配線
フロントページの続き (72)発明者 山中 英雄 東京都品川区北品川6丁目7番35号 ソニ ー株式会社内 (72)発明者 佐藤 勇一 東京都品川区北品川6丁目7番35号 ソニ ー株式会社内 Fターム(参考) 4K030 AA03 AA04 AA11 AA13 AA17 AA24 BA01 BA02 BA05 BA12 BA17 BA18 BA20 BA29 BA35 BA38 BA40 BA44 BA48 BB03 BB14 CA02 CA04 CA05 DA04 DA05 FA17 LA15 4M104 AA01 AA10 BB02 BB06 BB07 BB09 BB14 BB16 BB17 BB28 BB30 BB32 BB33 DD16 DD17 DD44 DD45 DD47 FF18

Claims (14)

    【特許請求の範囲】
  1. 【請求項1】 基層上に、該基層表面を露出させる開口
    部を有したマスクを形成する工程と、 前記マスクの開口部内にて露出した前記基層表面上に、
    触媒CVD法により選択的に金属あるいは金属化合物を
    堆積して金属膜を形成する工程と、を備えてなることを
    特徴とする金属膜の形成方法。
  2. 【請求項2】 前記金属あるいは金属化合物が、金、白
    金、パラジウム、イリジウム、ルテニウム、アルミニウ
    ム、銅、コバルト、チタン、タンタル、モリブデン、窒
    化チタン、窒化タンタル、酸化窒化チタン、酸化窒化タ
    ンタル、チタンタングステン、窒化タングステン、タン
    グステンシリサイドから選択されたものであることを特
    徴とする請求項1記載の金属膜の形成方法。
  3. 【請求項3】 前記基層が、シリコン、サファイヤ、ス
    ピネル、金属またはこの金属の化合物から選択されたも
    のであることを特徴とする請求項1記載の金属膜の形成
    方法。
  4. 【請求項4】 前記マスクが、酸化シリコン、窒化シリ
    コン、酸化窒化シリコンのうちの少なくとも一種からな
    ることを特徴とする請求項1記載の金属膜の形成方法。
  5. 【請求項5】 前記触媒CVD法を行う際の原料ガスと
    して、水素を用いることを特徴とする請求項1記載の金
    属膜の形成方法。
  6. 【請求項6】 基層上に、該基層表面を露出させるとと
    もに配線パターン形状となる開口部を有したマスクを形
    成する工程と、 前記マスクの開口部内にて露出した前記基層表面上に、
    触媒CVD法により選択的に金属あるいは金属化合物を
    堆積して配線を形成する工程と、を備えてなることを特
    徴とする配線の形成方法。
  7. 【請求項7】 前記金属あるいは金属化合物が、金、白
    金、パラジウム、イリジウム、ルテニウム、アルミニウ
    ム、銅、コバルト、チタン、タンタル、モリブデン、窒
    化チタン、窒化タンタル、酸化窒化チタン、酸化窒化タ
    ンタル、チタンタングステン、窒化タングステン、タン
    グステンシリサイドから選択されたものであることを特
    徴とする請求項6記載の配線の形成方法。
  8. 【請求項8】 前記基層が、シリコン、サファイヤ、ス
    ピネル、金属またはこの金属の化合物から選択されたも
    のであることを特徴とする請求項6記載の配線の形成方
    法。
  9. 【請求項9】 前記マスクが、酸化シリコン、窒化シリ
    コン、酸化窒化シリコンのうちの少なくとも一種からな
    ることを特徴とする請求項6記載の配線の形成方法。
  10. 【請求項10】 前記触媒CVD法を行う際の原料ガス
    として、水素を用いることを特徴とする請求項6記載の
    配線の形成方法。
  11. 【請求項11】 絶縁層上にポリシリコンからなる配線
    パターンを形成する工程と、 触媒CVD法により前記配線パターン上に選択的に金属
    あるいは金属化合物を堆積し、配線を形成する工程と、
    を備えてなることを特徴とする配線の形成方法。
  12. 【請求項12】 前記金属あるいは金属化合物が、金、
    白金、パラジウム、イリジウム、ルテニウム、アルミニ
    ウム、銅、コバルト、チタン、タンタル、モリブデン、
    窒化チタン、窒化タンタル、酸化窒化チタン、酸化窒化
    タンタル、チタンタングステン、窒化タングステン、タ
    ングステンシリサイドから選択されたものであることを
    特徴とする請求項11記載の配線の形成方法。
  13. 【請求項13】 前記絶縁層が、酸化シリコン、窒化シ
    リコン、酸化窒化シリコンのうちの少なくとも一種から
    なることを特徴とする請求項11記載の配線の形成方
    法。
  14. 【請求項14】 前記触媒CVD法を行う際の原料ガス
    として、水素を用いることを特徴とする請求項11記載
    の配線の形成方法。
JP11072948A 1999-03-18 1999-03-18 金属膜の形成方法及び配線の形成方法 Pending JP2000269163A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11072948A JP2000269163A (ja) 1999-03-18 1999-03-18 金属膜の形成方法及び配線の形成方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11072948A JP2000269163A (ja) 1999-03-18 1999-03-18 金属膜の形成方法及び配線の形成方法

Publications (1)

Publication Number Publication Date
JP2000269163A true JP2000269163A (ja) 2000-09-29

Family

ID=13504126

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11072948A Pending JP2000269163A (ja) 1999-03-18 1999-03-18 金属膜の形成方法及び配線の形成方法

Country Status (1)

Country Link
JP (1) JP2000269163A (ja)

Cited By (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004273648A (ja) * 2003-03-06 2004-09-30 Tokyo Electron Ltd プリコート層の形成方法及び成膜方法
JP2007308735A (ja) * 2006-05-16 2007-11-29 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2012509576A (ja) * 2008-11-19 2012-04-19 マイクロン テクノロジー, インク. 導電物質を形成する方法、導電物質を選択的に形成する方法、プラチナを形成する方法、及び、導電構造を形成する方法
US8216642B2 (en) 2003-11-20 2012-07-10 Ulvac, Inc. Method of manufacturing film
EP2039799A4 (en) * 2006-04-18 2015-09-30 Ulvac Inc FILM FORMING APPARATUS AND METHOD FOR MANUFACTURING BARRIER FILM
JP2018059182A (ja) * 2016-07-19 2018-04-12 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ タングステンの選択堆積
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition

Cited By (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4543611B2 (ja) * 2003-03-06 2010-09-15 東京エレクトロン株式会社 プリコート層の形成方法及び成膜方法
JP2004273648A (ja) * 2003-03-06 2004-09-30 Tokyo Electron Ltd プリコート層の形成方法及び成膜方法
US8216642B2 (en) 2003-11-20 2012-07-10 Ulvac, Inc. Method of manufacturing film
KR101233525B1 (ko) * 2003-11-20 2013-02-14 가부시키가이샤 알박 반도체기판 표면의 클리닝 방법, 박막 제조방법,반도체장치의 제조방법 및 반도체장치
EP2039799A4 (en) * 2006-04-18 2015-09-30 Ulvac Inc FILM FORMING APPARATUS AND METHOD FOR MANUFACTURING BARRIER FILM
JP2007308735A (ja) * 2006-05-16 2007-11-29 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2012509576A (ja) * 2008-11-19 2012-04-19 マイクロン テクノロジー, インク. 導電物質を形成する方法、導電物質を選択的に形成する方法、プラチナを形成する方法、及び、導電構造を形成する方法
US8753933B2 (en) 2008-11-19 2014-06-17 Micron Technology, Inc. Methods for forming a conductive material, methods for selectively forming a conductive material, methods for forming platinum, and methods for forming conductive structures
US9023711B2 (en) 2008-11-19 2015-05-05 Micron Technology, Inc. Methods for forming a conductive material and methods for forming a conductive structure
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
JP2018059182A (ja) * 2016-07-19 2018-04-12 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ タングステンの選択堆積
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Similar Documents

Publication Publication Date Title
JP2000269163A (ja) 金属膜の形成方法及び配線の形成方法
TWI698544B (zh) 選擇性地沈積材料的方法及選擇性地沈積金屬氧化物膜的方法
US9469899B2 (en) Selective deposition of noble metal thin films
US9587307B2 (en) Enhanced deposition of noble metals
JP3998830B2 (ja) 化学気相蒸着法による金属窒化膜形成方法及びこれを用いた半導体装置の金属コンタクト形成方法
US4969415A (en) PECVD (plasma enhanced chemical vapor deposition) method for depositing of tungsten or layers containing tungsten by in situ formation of tungsten fluorides
KR900006501B1 (ko) 금속박막의 선택증착방법
TW201718923A (zh) 含鋁與氮之物質的選擇性沈積
JP2000133715A (ja) 半導体素子のタングステン膜製造方法
JP2008124464A (ja) Ru膜および金属配線構造の形成方法
KR102632800B1 (ko) 금속 옥사이드를 환원시키고 제거함으로써 저 저항률 금속 콘택트들 및 상호접속부들을 형성하는 시스템들 및 방법들
JPS6333569A (ja) 金属薄膜の製造方法
TW200834733A (en) Semiconductor device and method for manufacturing the same
JP2006257551A (ja) Aldによる貴金属の促進された堆積
WO2004007795A1 (ja) 半導体処理用の成膜方法
WO2006020737A1 (en) Treatment of silicon prior to nickel silicide formation
JP3194256B2 (ja) 膜成長方法と膜成長装置
JPS62105422A (ja) 半導体装置の製造方法
JP3176840B2 (ja) 半導体装置の製造方法
KR930005947B1 (ko) 반도체장치 제조방법
JP3287042B2 (ja) 半導体装置の製造方法
JPH0661181A (ja) バリアメタルの形成方法
JPH0920980A (ja) 白金膜の製造方法
JP2006089790A (ja) 貴金属膜、貴金属酸化物膜、および貴金属ケイ化物膜の製造方法
JP2940162B2 (ja) 高融点金属の気相成長法及びそれを用いた金属配線の製造方法