JP3956057B2 - 熱処理のモデル規範型予測制御 - Google Patents

熱処理のモデル規範型予測制御 Download PDF

Info

Publication number
JP3956057B2
JP3956057B2 JP52776597A JP52776597A JP3956057B2 JP 3956057 B2 JP3956057 B2 JP 3956057B2 JP 52776597 A JP52776597 A JP 52776597A JP 52776597 A JP52776597 A JP 52776597A JP 3956057 B2 JP3956057 B2 JP 3956057B2
Authority
JP
Japan
Prior art keywords
temperature
output
model
control
heat treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP52776597A
Other languages
English (en)
Other versions
JP2000509171A (ja
Inventor
ハンク デワード
キーサー ロビン エム. デ
ジミン ル
ジェームズ ジェイ. ドナルド
Original Assignee
エイエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エイエスエム アメリカ インコーポレイテッド filed Critical エイエスエム アメリカ インコーポレイテッド
Publication of JP2000509171A publication Critical patent/JP2000509171A/ja
Application granted granted Critical
Publication of JP3956057B2 publication Critical patent/JP3956057B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • G05B13/027Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion using neural networks only

Description

発明の背景
発明の属する技術分野
本発明は、熱処理の自動フィードバック制御に関する。特に、本発明は、半導体処理において使用されるような、熱処理のモデル規範型予測制御に関する。
関連技術の説明
近時まで、集積回路の製造に必要な高温処理のほとんどは、高温壁(hot-wall)での抵抗加熱によるバッチ式反応器において行なわれていた。この反応器におけるウェーハ温度の均一性(ウェーハ内(within-wafer)、点と点の間(point-to-point))の制御は、反応器が実質的に断熱性を有しているため、一般に問題とされなかった。ダウンボート(down-boat)(ウェーハとウェーハとの間、wafer-to-wafer)の温度均一性は、円筒形の加熱コイルを数ヶ所のゾーンに分け、各ゾーンに各々の温度センサコントローラ及び電源を備えることにより、効果的に制御することができた。外寄りのゾーンは、炉の端部における熱損失を補うための典型的な調整がなされた。独立した単ループの市販されている(off-the-shelf)PIDコントローラが、これらの目的十分にかなう。より大きな径のウェーハへの傾向、超LSIの使用における均一性の要請、及び熱消費の削減の要求、これら全てが単一ウェーハ処理用反応器の使用を増加させた。商業的に実現可能な処理能力を得るために、実質的にウェーハ及びその直近の周囲部分のみを加熱することによる処理サイクル時間の最小化が極めて望ましい。多くの場合、単一ウェーハ用反応器は、低温壁(cold-wall)又は中温壁(warm-wall)型であり、水晶又はステンレス綱製処理チャンバが水冷又は空冷される。このような状況において、システムはもはや断熱性ではなくなり、温度均一性の制御が考慮すべき且つ技術的に困難な問題となった。この分野における近時の技術的展望は、エフ.ルーゼブーム(F. Roozeboom)及びエヌ.パレク(N. Parekh)の「Rapid Thermal Processing Systems: A Review with Emphasis on Temperature Control」(1990年のJ. Voc. Sci. Technol. B8(6), 1249-1259)に掲載されている。
特定の物理的処理性能が、正確な温度均一性の必要を具体的に示すことになる。シリコンのホモエピタキシャル堆積は、格子ずれのような結晶成長の欠陥を最小限にするような方法で行なわれるべきである。そのような欠陥は、高温処理中のウェーハにおける温度勾配により生じ、温度が上昇するほどその勾配に敏感になる。例えば、8インチウェーハを横切る約100℃の勾配は、900℃の処理温度において許容できるかもしれないが、1100℃の処理温度においては2〜3℃の勾配のみが各々に許容される。約10℃の勾配は、2,3秒の間であれば許容できることを示すいくつかの実験的な証明がなされている。多結晶シリコン(ポリシリコン)の堆積が600〜700℃において典型的に生じ、概略的に2%の均一性からの勾配が温度勾配の1度毎に生じる。さらに、ポリシリコン堆積のようなヘテロ堆積処理においては、体積層内での複合的反射及び光学的干渉が被覆層厚さについて放出的又は吸収的な変化をもたらすことがあり、温度均一性維持の問題を悪化させる(ジェー.シー.リアオ(J. C. Liao)及びティー.アイ.カミンス(T. I. Kamins)の「Power Absorption During Polysilicon Deposition in a Lamp-Heated CVD Reactor」(J. Appld. Phys., 67(8), 3848-3852, 1990年)。さらに、パターン化された層は、ウェーハを横切る光の吸収における変化をもたらし得、部分的な温度勾配を生じる(ピー.ヴァンデンアベール(P. Vandenabeele)及びケー.マエクス(K. Maex)の「Temperature Non-Uniformities During Rapid Thermal Processing of Patterned Wafers」(Rapid Thermal Processing, SPIE, 1189巻, 84-103頁, 1989年)。
制御システムを複雑化している前述のファクターは、急速(rapid)熱化学蒸着(RTCVD)システムにおいて明らかなだけでなく、一般的熱処理(TP)システムにも当てはまり、正確な処理制御の必要性は、最小処理サイクル時間の要請によってバランスされている。一般的な短い処理サイクル時間及び単一ウェーハシステムの速い動作(fast dynamics)は、温度均一性の動的制御をかなりの技術的困難を必要とするものにする。急速なウェーハ加熱に使用される放射式加熱システムは、数ヶ所の独立して制御され得る加熱ゾーンに分けられた線状のタングステン−ハロゲンランプ群又はアーク灯を備える。原理的に、ウェーハそのものは、放射エネルギーとの相互作用が本質的に非線形となる複雑な熱システムである。さらに、ウェーハ全体に亘るパワー分配の要請は、静的状態と比した動的な均一性ゆえに異なっており、したがって、単一箇所でのウェーハ温度測定から必要なパワーセッティングを推測するだけでは不十分である。一般的に、複合センサは、ウェーハ全体に亘る均一な温度分布を測定し維持するために必要とされる。これらを考慮すると、温度制御は、本質的にマルチインプット、マルチアウトプット(MIMO)又はマルチバリアブルの問題を伴う。輻射的加熱システムにおいて必然的に存在するゾーン間の大きな相互作用の結果、従来の制御技術では、例えば、単ループ型、カップル型、又はマスタースレーブ型のPID制御を使用しており、全ての作動条件に対する制御仕様を伴った温度処理反応器システムが得られることは期待できなかった。従来のPID制御技術は、所望の処理速度において、遅速、過剰及び不安定になりがちであり、その結果、単一ウェーハ処理反応器におけるファクターを制限することになる。したがって、商業的に実現可能なウェーハ処理能力を有し、且つ正確な動的マルチバリアブル制御を維持できるシステムのための電子的手段の必要性が明らかに存在する。
以上は、マルチバリアブルアプローチを使用した温度処理反応器における有効な均一制御の必要性を明確にしている。この見解は、多くの著作者により裏付けられている。例えば、ジェー.シー.ゲルペイ(J. C. Gelpey)等編の「Rapid Thermal and Integrated Processing Symposium」「Mater. Res. Coc. Symp. Proc.」(224巻, 1991年)の数本の寄稿を参照のこと。特に、モスレヒ(Moslehi)等編(143-156頁)、アプテ(Apte)等編(209-214頁)、ノーマン(Norman)等編(177-183頁)による記事は、マルチバリアブル温度制御を多くの観点から論じている。RTP及びRTCVDシステムのためのモデル開発の試みがその文献に報告されている。ノーマン(Norman)及びギュルクシク(Gyurcsik)等は、異なるモデルを開発したが、双方とも第1原理(first-principles)アプローチを使用し、モデルを均一性の最大化に利用している(エス.エー.ノーマン「Optimization of Wafer Temperature Uniformity in Rapid Thermal Processing Systems」(ISL Tech. Rep. No.91-SAN-1, Subm. to IEEE Trous. on Electron Devices, 1991年)、アール.エス.ギュルクシク(R. S. Gyurcsik)、ティー.ジェー.リリー(T. J. Riley)、アール.ワイ.ソレル(R. Y. Sorrel)の「A Model for Rapid Thermal Processing: Achieving Uniformity Through Lamp Control」(IEEEE Trans. on Semicon. Manf., 4(1)巻, 1991年))。ノーマンのモデル(1991)は、2つの要素からなっている。第1の要素は、ウェーハの(二次元)熱バランスをモデル化し、ランプからの所定熱放射に対する安定状態のウェーハ温度プロフィールを計算するのに使用される。第2の要素は、個々のランプ出力の関数としてランプからの熱放射をモデル化する。ウェーハ上の個々の径方向の位置での所望の温度とランプによる放射密度との間の2次式の関係に適合させるために、最小二乗法が使用される。必要な放射を適正化するランプの最適な相対的出力セッティングを決定するためにランプモデルが使用される。この方法は、安定状態、すなわち一定入力での均一制御にのみ適用される。しかし、ノーマン等(1991)は、安定状態での最適化の問題のみならず、最適化の軌道(trajectory)の仕様決めの問題をも考慮する。このため、その動的モデルは、ウェーハにおける伝導、対流によるウェーハからの熱損失、及び放射による伝達の効果を含む、一次元電熱方程式(one-dimensional he at equation)の差定近似(finite-difference approximation)である。安定状態での均一性の最適化及び軌道追跡(trajectory following)のためにミニマックス解が選ばれる。
動的システムモデル化は、ユニーククラスの同時制御アルゴリズム(unique class of contemporary control algorithms)を提供する予測制御法則の不可欠な要素である。要するに、システム又はプラントの制御手法は、適切な正確性をもった動的プラントモデルにおいて予測された将来のプラント挙動に基づくものである。将来に対する制御手法は、静的でなく、また、将来の時間帯へ不定に進行するものでもなく、いわゆる後退層位のように(receding horizon fashion)、プラントモデルに従って、定期的に更新される。多年に亘って、予測制御は、進んだ研究及び開発の主題であった。実際上、予測制御は、カトラー(Cutler)及びラマカー(Ramaker)が彼らのダイナミックマトリックスコントロール(Dynamic Matrix Control, DMC)アルゴリズム(シー.カトラー及びビー.エル.ラマカーの「Dynamic Matrix Control-A Computer Control Algorithm」(Joint Automatic Controls Conferece Proceedings, San Francisco, 1980年)、及びリチャレット等が彼らのモデルアルゴリズミックコントロール(Model Algorithmic Control, MAC)アルゴリズム(ジェー.エー.リチャレットの「Model Predictive Heuristic Control: Applicati on to Industrial Processes」(Automatica, 14巻, 413号、1978年)において行なったベンチマーク的業績の背景にある中心的なテーマである。さらに、予測型及び順応性が、アール.エム.シー.ドゥ ケイサー(R. M. C. de Keyser)等によって付加された(「Self-Tuning Predictive Control」Jounal A, 4巻, 167-174頁、1981年)。また、最近ではクラルケ(Clarke)等が彼らの一般化予測制御(Generalized Predictive Control, GPC)アルゴリズムにおいてそれらを付加した(ディー.タブリュ.クラルケ(D. W. Clarke)、シー.モータディ(C. Mohtadi)、ピー.エス.タフス(P. S. Tuffs)の「Generalized Predictive Control. Part I: The Basic Algorithm」、Automatica, 23巻, No.2, 137-148頁, 1987年)。文献における同時制御に関する業績の多くは、これらのアプローチにある程度基づいている。
DMC及び他の同様のアプローチにおいて、プラントモデルは特定され、決定論的な(deterministic)インパルス応答又はステップ応答モデルの形態で、投入される。これらのモデルの形態はよく理解されているが、計算上の煩雑さがあり、正確性と長いレンジのモデル予測への応答性との間のかなりの妥協がある。さらに、DMCは、最小でない段階(non-minimum phase)及びオープンループの不安定プラントを扱うことはできないと考えられる。DMCの重要な補填機能は、後退層位(receding horizon)のそれであり、その後の制御の増加はゼロであると推測される。この有利な推測は、GPCに適用され、種々の派生においても、CARMA又はCARIMA(Controlled Auto-Regressive Moving Average, CAR-Integrated-MA)のような自動逆進移動平均(Auto-Regerssive Moving Average, ARMA)プラントモデルの拡張を利用する。ARMAプラントモデルは、タイムシフト演算子q-1の多項A,B及びCを含む表現で一般に表される。シフト演算子q-1は、個々の時間変数f(t)の関数として作用し、q-1f(t)=f(t-1)であり、一般にはq-uf(t)=f(t-u)となる。モデルの多項A,B及びCは、処理インプットu(t),処理アウトプットy(t),及び処理外乱e(t)に作用し、
A(q-1)y(t)=B(q-1)u(t)+C(q-1)e(t)
となる。このようなモデルは、多項A,Bによりプラントダイナミクスを表し、多項A,Cにより外乱を表す。特に有利な点は、モデルのパラメータの数が最小化され、それらが高い効率をもって評価される点である。クラルケ等によって概説されたように、長いレンジのプラント予測は、モデルパターンを含むディオファンタス方程式(Diophantine equation)の反復(recursion)によって最もよく実行される。類似のARMAモデル及び反復的(recursive)モデル予測は、マッカーサー(MacArthur)等の米国特許第5301101号明細書に見られ、これは、運転コストの最小化のための手段を伴った後退層位に基づいた(receding horizon based)コントローラを開示している。
新たで有用な多変数(multivariable)制御技術の開発への近似の努力にも拘わらず、商業的熱処理反応器に必要とされる条件にそれらを適用するのに、現在に至るまでほとんど成功していない。現在までの明らかな唯一の成功例は、ここに示されているブラックボックスモデルではなく、物理的モデルの使用においてであった(例えば、コール ポーター(Cole Porter)等の「Improving Furnaces with Model-Based Tmperature Control」,Slid State Technology, 1996年11月, 119頁参照)。
発明の概要
本発明の目的は、多変数温度制御におけるより効果的な温度制御のための方法及び装置を提供することにある。
1つの観点によると本発明は、温度制御付き熱処理反応器は、内部で熱処理を行なうチャンバと、熱エネルギ源と、熱センサと、モデル規範型予測式温度コントローラとを備えている。前記温度制御付き熱処理(TP)反応器の好ましい1形態においては、多変数温度制御手段が備えられる。前記温度コントローラは、好ましくは、多変数処理インプット熱エネルギを多変数処理アウトプット温度に関係付ける多変数熱処理モデルを備える。該温度コントローラは、好ましくはまた、予め決められた将来の時間に亘って予測された温度アウトプットを計算するために前記処理モデルを使用する予測用計算機を備える。該温度コントローラは、好ましくはさらに、前記熱エネルギ源の制御をするための最適制御手法を計算するために前記予測温度アウトプットを使用する制御用計算機を備えている。前記制御用計算機は、好ましくは、予測された処理アウトプットを所望の将来の処理アウトプットの変化と比較することにより、最適の将来の制御手法を計算する。
他の観点によると本発明は、制御可能な熱エネルギ源と、温度センサと、モデル規範型予測式温度コントローラとを備える。該モデル規範型予測式温度コントローラは、処理インプット熱エネルギを処理アウトプット温度に関係付ける熱処理モデルと、予め決められた将来の時間に亘って予測された提唱温度アウトプットを計算するために前記熱処理モデルを使用する予測用計算機とを備える。該モデル規範型予測式温度コントローラはさらに、前記熱エネルギ源の制御をするための最適制御手法を計算するために前記予測提唱温度アウトプットを使用する制御用計算機を備える。好ましくは、前記制御用計算機は、最適の制御手法を引き出すために、予測された温度アウトプットを所望の温度アウトプットと比較する。好ましい温度制御システムにおいては、前記予測用計算機は、自動逆進移動平均計算機により、定期的に予測を更新する。好ましい形態においては、予測は、自動逆進移動平均計算機により更新される予め決められた将来の時間に亘って行なわれる。
さらに他の観点による本発明においては、熱処理制御方法が、処理アウトプット温度を測定するステップと、将来の処理アウトプット温度を予測する際にこの情報を使用するステップとを備える。該方法の好ましい形態においては、将来の処理アウトプット温度の予測ステップは、処理インプット熱エネルギを処理アウトプット温度に関係付ける熱処理モデルを特定することを含む。該好ましい予測方法は、予め決められた将来時間又は予測層位に亘って、反復的な(recursive)適用をすることを含む。前記予測は、さらに自動逆進移動平均計算機により、定期的に予測を更新される。他の好ましい急速熱処理制御方法は、予測された温度アウトプットを所望の将来の処理温度アウトプットと比較することにより、最適の制御手法を引き出すステップを含む。
さらに他の観点による本発明においては、熱処理制御のための温度制御システムが、制御可能な熱エネルギ源と、温度センサと、非線形のモデル規範型予測式温度コントローラとを備える。該モデル規範型予測式温度コントローラは、処理インプット熱エネルギを処理アウトプット温度に関係付ける熱処理モデルと、予め決められた将来の時間に亘って予測された提唱温度アウトプットを計算するために前記熱処理モデルを使用する予測用計算機とを備える。前記非線形モデルはさらに、ニューラルネットワークを備える。特に好ましい形態においては、該ニューラルネットワークは、シグモイド型(sigmoid type)の隠れニューロン(hidden neurons)を備える。
さらに他の観点による本発明においては、熱処理制御のための温度制御システムが、制御可能な熱エネルギ源と、温度センサと、非線形のモデル規範型予測式温度コントローラと、サセプタ(susceptor)温度をウェーハ温度に関係付けるソフトセンサ(softsensor)モデルとを備える。該ソフトセンサモデルは、測定不可能なウェーハ表面温度の評価をする。好ましい形態においては、前記ソフトセンサモデルは、FIRモデルとされる。該ソフトセンサFIRフィルタのモデル係数は、装着されたウェーハの使用を通じて得られる。
さらに他の観点による本発明においては、熱処理制御のための温度制御システムが、制御可能な熱エネルギ源と、温度センサと、非線形のモデル規範型予測式温度コントローラと、サセプタ(susceptor)温度をウェーハ温度に関係付けるソフトセンサ(softsensor)モデルと、ウェーハ表面温度が仕様書(レシピ、recipe)により特定された値に近づくようにセットポイントを調節するための仕様書、及び前記ソフトセンサモデルのアウトプットを使用するセットポイントゼネレータとを備える。
モデル規範型予測式温度コントローラは、処理インプット熱エネルギを処理アウトプット温度に関係付ける非線形熱処理モデルと、予め決められた将来の時間に亘って予測された提唱温度アウトプットを計算するために前記熱処理モデルを使用する予測用計算機とを備える。前記非線形モデルはさらに、ニューラルネットワークを備える。特に好ましい形態においては、該ニューラルネットワークは、シグモイド型(sigmoid type)の隠れニューロン(hidden neurons)を備える。
【図面の簡単な説明】
図1は、シングルウェハー熱化学蒸着レセプターの斜視図である。
図2は、シングルウェハーレレプターに使用される従来の温度制御システムのブロック図である。
図3は、従来の多変数温度制御システムの温度追跡及び応答の各々を示す特性データである。
図4は、モデル規範型多変数温度制御システムの基本ブロック図である。
図5は、多変数モデル規範型予測温度制御システムのブロック図である。
図6は、好ましい予測及び制御アルゴリズムに関するフローチャートである。
図7は、好ましい多変数モデル規範型予測温度制御システムのシステム図である。
図8A及び8Bは、システムの刺激値(B)及び応答値(A)を示す、中心領域のための模範的な入出力認識データ集合を示すグラフである。
図9は、中心領域のためのシステム入力データを用いた模範的な出力システム刺激のグラフである。
図10は、前記システム中心領域入出力データ集合のための模範的な残余相関性を示すグラフである。
図11は、システム出力データと比較した模範的なモデル予測データ集合を示すグラフである。
図12Aは、各リアクター領域のための模範的なコマンドシーケンス及び出力応答を示すグラフである。
図12Bは、図12Aのコマンドシーケンスに対する模範的な入力応答を示すグラフである。
図13Aは、各システム出力変数に対する模範的な追跡特性データ及び応答特性データを示すグラフである。
図13Bは、図13Aのコマンドシーケンスに対する各システム入力変数の模範的な追跡特性データ及び応答特性データを示すグラフである。
図14Aは、ファブリケイションシステムの概要を示すブロック図である。
図14Bは、図14Aを更に詳細にしたもので、種々のハードウェア、ソフトウェア、及び非線形ニューラルネットワーク規範型コントローラを構成するファブリケイションシステムの概念に関する要素を示すブロック図である。
図15は、非線形処理モデルを示すブロック図である。
図16は、典型的なニューラルネットワークを示す説明図である。
図17Aは、パラレルモデルネットワークを示すブロック図である。
図17Bは、シリーズパラレルモデルネットワークを示すブロック図である。
図18は、各時間ステップtでのn(t+k|t),u(t+k|t),及びy(t+k|t)のための予測装置の新しい集合を計算するための処理工程を示すフローチャートである。
図19は、一つの隠れたニューロンを有する単純ニューラルネットワークを示す説明図である。
図20は、1入力1出力(SISO)コントローラにおける波形を示すタイムチャートである。
図21は、MIMO予測装置でのステップ応答を計算するのに必要なステップを示すフローチャートである。
図22は、図16のニューラルネットワークで使用されるシグモイド関数を示すグラフである。
図23(図23A及び23B含む)は、擬最初2乗(PLS)法を示すフローチャートである。
図24は、ソフトセンサーファブリケイションシステムに基本ファブリケイションシステムを拡張した図を示すブロック図である。
好ましい実施形態の詳細な説明
RTP処理制御の概要
本発明のモデル規範型予測制御システムは、ここでは、高速熱処理(RTP)システムを背景として、特に、そのシステム自信が、本発明によって与えられる優れた温度均一性能を有利に使用する高速熱化学蒸着(RTCVD)システムにつき説明される。詳細な説明及び図面において、本装置は、概略斜視図で示され、ここで開示された本発明概念を図示するのに必要な部分だけが含まれている。特に、本装置が、囲い(図示せず)を囲うことによって、該囲い内に囲まれ支持され得るものであり、該囲いの中及び上に、必要とされる、ガス反応流量制御,プロセス制御,器具使用,及び他の付随装置が、収容され搭載されるようになっていることに理解することである。
図1に示されたRTCVDシステム30は、溶融水晶のような放射熱エネルギー透過性材料で形成された水平フロー型の反応チャンバ30を有している。この反応チャンバ30は、反応ガス流通路28を形成する断面を有する管状シャフトを備えることができる。基板又はウェハー22は、反応チャンバ30の外から延びる回動敷き駆動軸アッセンブリ26によって保持された円環状でスラブ状のサセプタ24によって、反応チャンバ30の中心で支持され得る。このサセプタ24は、一般に、放射熱源からの放射熱エネルギーを透さない材料で構成され、熱的に導体である。例えば、サセプタ24は、グラファイトのような材料で構成される。基板22上の予め決められた位置(この例では、各ウェハの中心44,前部46,側部48,及び後部50を示す)での基板局所温度を決定するために、複数の熱電対44,46,48,50がサセプタ24内に埋設されている。この熱伝対の信号は、後述の温度コントローラに送られる。
高温ウェハ加熱のために使用される放射加熱システムは、一般に、幾つかの独立制御可能な加熱ゾーンに分割された、アークランプか又は細長いタングステン−ハロゲンランプ列を有する。図1に示された放射加熱源は、反応チャンバ30の上下部に配置された2つの列からなる高出力細長タングステン−ハロゲンランプ群を有している。その上部列のランプ群は、処理ガス流28に平行に配向され、複数の上部列ランプ群が、ウェハ22及びガス流28に対して各々近接する中心ゾーン34と2つの側方ゾーン40からなる部位に分割されている。同様に、前記下部列のランプ群は、前記処理ガス流28に直行して配向され、複数の下部列のランプ群が、ウェハ22及びガス流28に対して各々近接する中心ゾーン32、前ゾーン38、及び後ゾーン36からなる部位に分割されている。ランプ駆動装置(後述)によって前記ランプに供給される電力は、典型的に、負荷サイクル(duty cycle)又は位相角を制御するよう構成された複数のSCRパワーパック(後述)によって制御され、それによって該電力が特定の加熱ゾーンに影響するランプ群の組合せに供給される。前記SCRの点弧位相角(firing phase angle)は、好ましくは、例えば、いわゆるV2又はV*I作動モードでなされるように、前記ランプに線形化された電力入力を与えるように調整される。
作動時において、基板22は、反応チャンバ30内に収められ、処理サイクルの初めにサセプタ24上に載置される。反応ガス流は、ガス流を示す矢印28によって示された方向に、反応チャンバ30を通って流れ、基板22上に材料を付着する。処理サイクルの間、熱処理ステップの望まれる繰り返しが、反応ガス処理と共同して進行する。この熱処理の繰り返しは、処理サイクル中の特定の時間にウェハの所望温度を達成するために、前記ランプの電力レベルを調整することによって達成される。種々の加熱ゾーンに供給される放射熱エネルギーは、各々の加熱ゾーン内での温度測定に基づいて制御され、その測定温度情報が後述の温度制御システムに送られる。基板22は、処理サイクルが完了するまで、反応チャンバ30から取り外される。
冒頭で説明したように、図1に示されたような冷壁及び暖壁反応チャンバは、本来、非等温である。従って、均一な温度分布の達成は、不均一熱流、ウェハの幾何学構造及びそれに付随する光学特性によって複雑化される。図1に示されたランプ群の、位置、向き、及び出力レベルは、熱エネルギーを空間的及び時間的に適切に分配して供給することによって、原理的にウェハ22上に均一な温度分布を与えるように構成されている。異なる領域、例えば、側方ゾーン40、及びその前ゾーン38及び後ゾーン36を有する複数のランプ群は、多変数制御入力を構成する電力レベルを変えることで与えられる。これらの制御入力は、異なる加熱ゾーン内の放射出力レベルの変更を生みだし、ウェハ処理中の基板22上の温度分布に影響を与える。様々なランプ作動出力が、多変数制御出力を有する熱電対44,46,48及び50によって与えられた実時温度フィードバックに基づく温度制御作動によって調整される。温度制御システムの作動は、好ましくは、均一なウェハ温度分布に作用するように、ウェハ22及び反応器20の前述の不均一熱特性を補正する。
図2に示されているように、RTCVDのための典型的な従来の多変数温度制御システムは、この技術分野では公知であり、いわゆるマスタースレーブ装置で構成された複数の比例−積分−微分(PID)コントローラを有する。ウェハ22の平面図は、前述のように、ランプ加熱ゾーン32,34,36,38,40及び42と、ウェハ22に対する感知熱電対44,46,48及び50と、ガス流ベクトル28との相対位置を示す。温度センサー44,46,48及び50は、各PIDコントローラ64,66,68及び70に、ウェハ22の局所温度を示す信号を付与するために接続されている。前記PIDコントローラ64,66,68及び70はまた、参照信号源に接続され、該参照信号は、各PIDコントローラに各々の温度参照信号又は設定値(目標値)を付与する。ここに示されたいわゆるマスタースレーブ装置では、プロセスコントローラ62は、全体的な又は主要な設定値情報を供給するために、PIDコントローラ64に接続されているが、このPIDコントローラ66,68及び70は、ウェハ22の中心の温度センサ44に接続され参照される。PIDコントローラ64,66,68及び70の出力信号は、一組のシリコン制御整流器(SCR)パワーパック84,86,88及び80の各々に、順次接続され、前記パワーパックは、各加熱ゾーン32/34,36,40/42及び38のためのランプ電力を制御する。
一般に、図2に示されたPIDコントローラは、各ランプ出力の負帰還(負のフィードバック)によって、各参照温度と各測定温度との間の差分であるエラー信号を最小化するよう作用する。特定のPIDコントローラによって作られたフィードバック信号は、該コントローラ及び反応器(リアクター)の反応特性によって決定され、それ自身、一般的に、最適化するための相当の試行を繰り返す。反応速度,精度,相対安定性及び感度のような動的システム反応を特徴づけるために、幾つかの測定器が採用され得る。例えば、そのようなコントローラは、3つのターム(term)を構成するフィードバック信号を付与し、第1のタームは、エラー信号に比例し、第2のタームは該エラー信号の時間積分に比例し、第3のタームは、該エラー信号の時間微分に比例する。全ての3つの比例定数は、調節が必要である。安定した状態の下では、中央PIDコントローラ64が前記中央ウェハ温度を予め決められた参照値に維持し、スレーブPIDコントローラ66,68,70は、その周囲のゾーンを前記中央ゾーン温度に維持するということが予測されるだろう。図3に示されているように、曲線90は、ウェハ設定温度のステップを描いており、曲線92は、そのステップに対する中央ゾーン44の時間応答を示しており、十分に長い整定時間の後の、安定した中央ゾーンを示している。周囲ゾーンの時間応答は、曲線94によって表され、該曲線は、安定した応答を表示している。しかしながら、最適に調整されたPID制御システムでさえも、曲線92の過渡時間応答によって示されたように、固有の時間遅延,特有の応答時間及び行き過ぎ量(オーバーシュート)による制限を受ける。さらに、加熱ゾーンは、強固に結合されているから、一つのゾーン内での変化は、他の加熱ゾーンの過渡制御に影響を及ぼすだろうし、少なくとも一時的に、曲線96で示されたような温度勾配を引き起こすだろう。図2に示されたような連結されたPIDシステムは、応答試行を悪化させ、ウェハ処理量を犠牲にして不安定整を会費するため、一般に離調される。
モデル規範型予測コントローラの概要
図4の基本ブロック図に示されているように、本発明のモデル規範型予測制御システムの好ましい実施形態を組み込んだ熱処理反応器は、多変数制御入力として、加熱ゾーン温度センサー44,46,48,50を利用する。こられの温度センサーは、モデル規範型予測コントローラ100に、このシステムの状態、即ち基板22のゾーン温度に関する情報を付与する。この情報に基づき、モデル規範型予測コントローラ100は、別々の加熱ゾーンランプ32,34,36,38及び40への電力入力を有する未来制御戦略の最適なシーケンスを計算する。プロセスコントローラ62は、モデル規範型予測制御システムに接続され、そこに所望のプロセス温度シーケンスを付与する。
ここに開示された多変数制御技術は、従来のPID型コントローラに比べて改良された制御性能を示す。何故なら、これらは、システムダイナミクスに関するより多くの情報を有しているからである。この情報は、自動回帰移動平均(ARMA)モデル(以後、モデル規範型予測制御という、)に利用される。予め決められた符号化予測範囲までのフィードフォワード又は前記補正は、改良された制御性能を付与する。何故なら、測定可能な外乱がそのシステムに影響及ぼす前に、コントローラを反応させるからである。制御予測値のシーケンスは、ARMAモデルと同じく帰納形で設定され、従って、コントローラの応答時間及び柔軟性を増加する。
本発明の制御システムの一実施形態は、図5のブロック図を参照して記述されら、図5は、温度コントローラ100(図4)が、複数の構成部品を備えることを示している。この動的システム(例えば、コントローラ,反応器,ランプ及びセンサー)の全ブロック図は、コントローラ100と、該コントローラが応答し得るプラント又は反応器20との双方を備える。反応器20は、制御されない外乱104に晒され、外乱信号入力e(t)124を通じて反応器の状態応答性に影響を及ぼす。離散的時間変数tで温度センサー44,46,48,外乱信号124は、複数のプロセス制御入力y(t)によって測定されるにつれ、反応器20の状態に影響を及ぼす。制御入力116は、予測器108を通じて温度コントローラ100に与えられる。この温度コントローラは、原理的に相互関連する構成部品、即ち、予測器108,モデル110,コントローラ又は制御法則プロセッサ112及びを有し、所望のプロセス温度の予め定義されたシーケンスと共同して、プロセスコントローラ106からコマンドシーケンスW(t)を付与される。予測器108は、未来の反応器の状態y(t+k|t)(120)のシーケンスを計算する。ここでkは、時間tに参照された不連続時間索引である。ここで定義されたように、時間tでなされた予測された関数値f(t+k)は、f(t+k|t)によって表示される。予測y(t+k|t)は、制御入力116と制御戦略u(t)118とが結合したモデル126に基づいた何らかの公式を介してなされる。前記予測器の出力120は、時間tからt+Nまで前方へ延び、ここでNは予測範囲である。制御法則プロセッサ(the control law processor)は、予め決定された制御基準(後述),与えられた予測器の出力120及び与えられたコマンドシーケンスW(t)122に基づいて最適化制御戦略u(t)118を計算する。最適制御戦略u(t)118は、ランプ駆動装置102へのプロセス入力として付与され、前記ランプ駆動装置が該制御戦略118を電力入力信号P(t)114に変換する。ランプ入力信号114は、反応器ランプに送られ、それによって、反応器20内に放射熱を分散させる。
モデル規範型予測制御アルゴリズム
下記の詳細な説明は、モデル規範型予測コントローラに使用されるアルゴリズムの機能的な説明である。このアルゴリズムの短時間の微分は、一般的な温度制御及びRTP温度制御の好ましい実施形態への適用を具現するために与えられる。明瞭にするため、その微分は、単一入力,単一出力(SISO)プロセスモデルで始まり、実質的には、多数入力,多数出力(MIMO)ケースに一般化される。
SISOプロセスモデル
このセクションでは、線形の単一入力,単一出力(SISO)多項式モデルはが記述される。
好ましいSISO多項式モデルは、以下の一般式を有している:
Figure 0003956057
ここで、y(t)は制御入力、u(t)は処理入力、e(t)はゼロ平均ガウス型白色騒音シーケンス、tは離散時間索引(t=…-2,-1,0,1,2…)、q-1は後方シフト操作q-1y(t)=y(t-1),及びA(q-1),B(q-1),C(q-1),D(q-1),及びF(q-1)は以下の多項式である。
Figure 0003956057
ここで、多項式C(q-1)及びF(q-1)は、それらのすべてのゼロ点を厳密にユニットサークルの内側にする漸近安定多項式であり、D(q-1)は、そのゼロ点がユニットサークル上またはその内側にある安定多項式である。多項式A(q-1)は、不安定なプロセス極を維持でき、多項式B(q-1)は、非最小化位相をゼロに維持する。多項式C(q-1)及びD(q-1)は、ここではデザイン多項式として定義される。この好ましいモデル関数の利点は、多項式D(q-1)及びF(q-1)の定義及び算入である。モデルの振る舞いでのこれらの影響は、騒音入力e(t)とプロセス入力u(t)との間の幾つかの相関を、より効果的に切り離す。そのような切り離しは、熱処理反応器の真の振る舞いに、より正確に反映する。
SISOマルチステップ予測器
モデル予測の容易のため、濾過信号yf(t)及びuf(t)は、
Figure 0003956057
であり、結果的に、方程式(1)は、以下のように書き換えることができる。
Figure 0003956057
従って、この好ましいモデル関数の他の利点は、濾過信号yf(t)及びuf(t)の定義及び使用である。ここで説明したように、濾過信号yf(t)及びuf(t)は、従来の閉鎖型解法を、予測された応答y(t+k|t)に与える。前に定義したように、y(t+k|1)は、時間tにおける測定有効性に基づいたy(t+k)の予測値、即ち、{y(t),y(t-1),…,u(t-1),u(t-2),…}及びプロセス入力{u(t|t),u(t+1|t),…,u(t+k|t)}の(仮定された)未来値を意味する。時間t+kで濾波された出力のための式は、即ち、
Figure 0003956057
よって、最良のkステップ先行予測値は、単純に以下のように与えられる。
Figure 0003956057
ここで、e(t)は、真の白型騒音と仮定され、k≦0に対して、前記予測値は、下記式で与えられる。
Figure 0003956057
プロセス出力が濾波されていない間は、方程式(5)及び(6)は、以下のように記述できる。
Figure 0003956057
方程式(8)は、微分方程式(7)の最適初期設定の本質的ルールに従う。フィルターyf(t+k|t)は、各ステップtで再初期化され、k=1…N(ここで、Nは、予測限界)に対する全ての予測範囲{y(t+k|t)}において、連続した全ての値を与える。
予測アルゴリズムの構造は、実質的に、図6に示されたフローチャートの波線ブロック148で示されたものである。このプロセスコントロールは、初期設定ブロック1287で始まり、これに続いて、強制応答の計算が、ベクトルK129(コントロール則と併せて以下に説明される)を得る。各時間ステップtで、プロセス入力y(t)及び出力u(t)ベクトル、同じく、濾波されたベクトルyf(t)及びuf(t)は、シフトブロック128によって示された時間索引に、符号化限界数式化に従って、シフトされる。以下のプロセスステップは、予測構造を例示している。
(1)プロセスブロック130でy(t)を測定し、そのデータを、プロセスブロック132で示されているように、データベース{y(t),y(t-1),…;u(t-1),u(t-2),…}に格納する。;
(2)未来制御政策(the future control policy){u(t|t),u(t+1|t),…u(t+N|t)}をプロセス134で仮定する。
未来プロセス入力を作成するための最も単純な仮定は、それらが一定に維持されるだろうということである。従って、u(t-1)=u(t|1)=u(t+1|t)=…=u(t+N|t)である。
次のセクションCで詳述されるように、ここでなされた仮定は、このシステムの自由応答の計算に導き、それが、実質的に、最適制御戦略を導き出すために、望まれる反応に比較される。
(3)以下の関係を用いて、方程式(3)に従い、プロセスブロック136で濾波入力{uf(t|t),uf(t+1|t),…uf(t+N|t)}のベクトルを計算する。;
Figure 0003956057
そしてここで、bd0=0(何故ならb0=0)及びfc0=1(何故なら、f0=1,及びc0=1)である。
この結果を、プロセスブロック138においてデータベース{uf(t)}に格納する。;
(4)下記式を用いて、方程式(2)に従いプロセスブロック140で、yf(t)を計算する。
Figure 0003956057
そして、ad0=1(何故なら、a0=1,及びd0=1);
プロセス142によって示されたように、その結果をデータベース{yf(t)}に格納する。;
(5)方程式(5)に従い、プロセスブロック144において、濾波されたプロセス出力yf(t+k|t)を濾波されたプロセス入力uf(t+N|t)に等しく設定する。
Figure 0003956057
(6)下記式を用いて、方程式(7)及び(8)から、プロセスブロック146において、予測値{y(t+1|t),y(t+2|t),…,y(t+N|t)}を計算する。;
Figure 0003956057
uf(t)及びyf(t)だけが、次の時間ステップ(t+1)のためにセーブされなければならないことに気づくべきである。
(t+k|t)で示された全ての他の予測されたデータは、時間tの後に忘れさられ得る。予測値y(t+k|t)の組は、次のセクションで記述される予測コントローラに送られる。
SISO予測コントローラ
本発明の予測コントローラは、以下に定義されたコスト関数Hを最小にする制御戦略u(t)を決定する。
Figure 0003956057
を条件とする。
ここで、w(t)は、実際の設定値であり、Nは予測限界、そしてNuは制御限界、△u(t)=u(t)-(t-1)、△u(t+k|t)=u(t+k|t)-u(t+k-1|t)である。コスト関数Hは、[w(t+k)-y(t+k)]と[u(t+k)-uu(t+k-1)]の2次の項を含む。制御入力y(t)を含む項の組は、予測されたコントローラの追跡エラーを反射し、未来制御動作u(t+k|t)に関して望ましい最小化がなされる。制御戦略u(t)を含む項の組は、与えられたレベルの追跡エラーを達成するために、作用する。前係数λは、好ましくは、望まれるレベルのコントローラの応答を与えるために調整される。この開示された実施形態では、λ=0である。
このシステムのモデルは線形であるから、未来応答y(t+k|t)は、2つの別個の寄与の重ね合わせとして考えられ得る。:
Figure 0003956057
ここで、自由応答y0(t+k|t)は、全ての未来制御動作をゼロ(例えば、△u(t|t)=△u(t+1|t)=…=0又は、同じく、u(t|t)=u(t-1),u(t+1)=u(t),…)であると仮定した過去のプロセス入力{u(t+1),u(t+2),…,}の結果であり、このシステムに作用する外乱の結果である。前記自由応答は、予測限界N及びu(t|t)=u(t+1|t)=…=u(t+N|t)=u(t-1)を用いて、前のセクションで与えられた処理順序で計算される。
強制応答yp(t+k|t)は、未来制御動作△u(t|t),△u(t|t),△u(t+1|t),...,△u(t+N-1|t)の結果である。これが、このシステムのステップ入力の効果である。時間tでの振幅△u(t|t)を伴うステップは、時間(t+k)で予測された出力及び時間(t+k)で振幅△u(t+1|t)を持つステップ等を加えたものに、gk△u(t|t)を寄与する結果となる。全効果は、従って、
Figure 0003956057
は、システムB(q-1)/(A(q-1)F(q-1))のステップ応答である。b0=0よりg0=0である。さらに、k<0に対してgk=0である。N≧Nuと仮定すれば、予測値に寄与する強制応答のベクトルは、行列記号を用いれば以下の表式で表される。:
Figure 0003956057
行列式では、予測されたエラーのベクトルは、以下のように記述される。:
Figure 0003956057
Figure 0003956057
又は、同様に、新たに導入した変数のための明らかな定義を伴って、
W−Y=W−Y0−GU (12)
同様に、そして同時に、方程式(12)を用いて、コスト関数(9)は、以下のように記述される。
H=(W+Y)T(W+Y)+λUTU=[(W-Y0)-GU]T[(W-Y0)-GU]+λUTU
Uに対して最小化されたHは、次の解を与える。
U=(GTG+λI)-1GT(W-Y0) (13)
Uの最初の要素だけが、下記制御入力を計算するのに実際に要求される。
u(t)=u(t-1)+△u(t|t)
次の時間スロット(t+1)で、符号化限界の原理に従って、新しい測定情報y(t+1)及び新しい設定データw(t+N+1)を考慮して、全ての手順が繰り返される。
Kによって(GTG+λI)-1GTの第1行を表せば、制御法則は、以下の式によって与えられる。
u(t)=u(t-1)+K(W-Y0) (14)
ゲインベクトルKは、先の行列式に従って計算される。このゲインベクトルは、不適応状態、例えば、モデルパラメータが固定されたままのような場合に、一度だけ計算されなければならないことに気づかなければならない。この計算は、図6のプロセスブロック128で示して前述したような、アルゴリズムの初期設定段階において、なされ得る。あるいは、前記ゲインベクトルは、予め計算されたオフライン又はメモリーに蓄積され得る。先の制御法則の適応の拡張は、本質的に、ゲインベクトルKの周期的調節のために与えられる。
図6のフローチャートの破線部分166は、予測コントローラに対応し、破線部分148で作られたプロセス出力予測値y(t+k|t)120が与えられる。何故なら、仮定された未来制御入力y(t+k|t)は、一定であってu(t-1)(プロセスブロック134)に等しいと仮定され、従って、予測された出力y(t+K|t)は、システムの未来自由応答y0(t+k|t)に等しい。プロセスブロック150では、このシステム自由応答は、先に計算された予測値y(t+k|t)(ブロック146)に設定される。このシステム自由応答は、ブロック154からの現在の設定値情報に沿って、プロセスブロック152に与えられる。プロセスブロック152では、最適プロセス制御入力U(t)は、ブロック128で最初に計算された、y0(t+k|t),W(t),u(t-1)及びゲインベクトルKを用いて計算される。最小化制御入力U(t)は、プロセスブロック158で時間tでのランプ駆動装置を調節するために使用される。加えて、U(t)の値は、次の時間ステップ操作のための容易されているプロセスブロック134に実質的に付与されるブロック156でのプロセス入力行列{u(t)}に組み込まれる。ブロック158でのランプ列制御調節に続いて、決定ブロック162は、そのプロセスサイクルが完全かどうかを決定するためにテストを行う。もし完全でなければ、時間ステップの増加が、ブロック160でなされ、それによって、ブロック154の設定値行列とともにブロック129でのプロセス入力/出力行列を移行させる。
MIMO予測制御
多重入力多重出力(MIMO)システム用のモデル規範型予測制御アルゴリズムの定式化は、SISOの場合の延長線上にあることがわかるであろう。制御システムの技術分野の当業者は、前述したコンピュータの形式論をいかにして多変システムに広げるかについて知っているであろう。
本発明に係る方法によってモデル化されたMIMO制御システムは、複数の入力変数ui(t)及び出力変数yj(t)によって特徴付けられ、変指数i、jは、各々入力変数、出力変数の数m、nまで増える。MIMOの各出力は、式(1)の動的関係を通じて全ての入力に関係する。
Figure 0003956057
ここに、mは、入力の数を示し、nは、出力の数を示す。図1に示す代表的なRTCVDの場合、mとnの両方が4である。MIMOの多段予測値は、多重入力、単一出力(MISO)のモデルの予測値を連続的に適用したものと考えれば都合がよい。したがって、式(15)は、結合されたMISOモデルの1セットと考えることができる。フィルタリングされた信号を式(17)及び(18)のように定義すると、
Figure 0003956057
フィルタリングされたプロセス出力信号は、式(4)に示されたものと近似した式(19)によって表される。
Figure 0003956057
従って、j番目のプロセス出力に対するKステップ先の予測器は、式(20)、(21)によって与えられる。
Figure 0003956057
同様にして、式(7)、(8)と同等のMISOは、式(22)、(23)によって与えられる。
Figure 0003956057
MIMO予測制御器によって生じる動作は、式(9)及び(10)に近似した多変コスト関数を、式(25)を条件として、ΔUi(t+k|t)に対して好適に最小化する。
Figure 0003956057
入力j及び出力jに関連するステップ応答係数に対し、以下の表記を導入すれば、
Figure 0003956057
制御入力の仮定される将来の変動
{△ui(t|t),△ui(t+1|t),..△ui(t+Nu|t),i=1,...,m}
による出力の強制的応答は、以下のように表すことができる。
Figure 0003956057

領域も同じように表される。対象の時間フレームにおける第1のプロセス出力に対する予測誤差のベクトルは、以下のように表すことができる。
Figure 0003956057
或いは、式(12)に近似したマトリックス表記を使用すれば、以下のように表すことができる。
Figure 0003956057
他のプロセス出力も同じように表される。同じ表記を使用すれば、コスト関数(24)は、式(28)で表すことができる。
Figure 0003956057
式(28)を最小化する一般解は、式(27)及び他のプロセス出力に対する同様の式の基準を条件とし、適切な次元の同定マトリックスI及び
j=[Gj1j2...Gj,m
U=[U1 T2 T...Um TT
を用いて、式(29)のように見出される。
Figure 0003956057
最後に、制御出力は、式(30)により計算される。
ui(t)=ui(t-1)+Ui (1),i=1,...,m (30)
実際は、典型的なモデルパラメータは、例えば、以下の式で定義される多重入力多重出力(MIMO)の3次元多項式のモデル係数を備える。
Figure 0003956057
ここに、すべてのjに対して
j=1,
j=1-q-1
j=(1-C1q-1)(1-C1q-1
であり、前述した典型的な実施形態においてn=m=4である。以下に概説するように、特定の反応器の実験により、係数として最も適切な値が決定される。
急速な熱プロセスの予測制御システム
多変モデル規範型予測制御システム用の好適なモデル及びアルゴリズムについての前記記述は、自然界では一般的である。それは、ARMA方式において実施される適切に正確なモデルによって特徴付けられる入力/出力関係を有する種々のシステムに適用可能である。モデル規範型制御アルゴリズムの広範囲予測特性は、ARMAモデルによって与えられるフレキシビリティに加え、高速応答及びロバスト動作を与える。
以下のシステムの記述は、前記アルゴリズム、モデル及びモデルの実施を取り入れ、急激な温度処理反応器における静的及び動的な温度均一性を制御する。
図7に示すように、急激な熱処理反応器用の多変温度制御システムは、プロセス反応器20内に配置された温度センサアレイを備える。温度センサは、熱電対又は他の均等物を備える。本実施形態において、熱電対180、182、184及び186又は他の温度センサは、図1において前述したようにサセプタ24に接続されている。温度センサ180、182、184、186は、各々、バッファアンプやアナログ・ディジタル(A/D)変換器188、190、192及び194のような入力/出力デバイスを経てデータバスに接続されている。温度センサ用入力/出力デバイス188、190、192及び194は、好適には、温度データ取得アセンブリ172内に取り付けられ、測定誤差を最小化するべく反応器20に近接して配置されている。A/D変換器188、190、192、194の出力は、データバス195に接続されている。データバス195は、システム温度コントローラ170の入力/出力ポート167に接続する。温度コントローラ170は、プロセッサ165、データストレージデバイス169及びデータ入力/出力デバイス167、168を備え、前記モデル規範型予測制御アルゴリズムをハードウェア的/ソフトウェア的に実施する。システムコントローラ170の出力は、データバス198を経て複数のランプドライバ174に接続されており、各制御信号U*(t)を備えたランプドライバを与える。前述したように、複数のランプドライバは、反応器20内の複数のランプに電力を供給するべく、予め決められた態様で形成されたSCRパワーレギュレータのバンクを備えてもよい。好適には、SCRパワーレギュレータ及びランプは、接続され、反応器20内の好適な放射熱の分布に従い、複数の反応器加熱領域に放射エネルギーを供給する。ランプドライバ出力P(t)200は、この計画に従いランプに接続され、これによって温度制御ループを達成する。
作動中、温度センサ180、182、184及び186は、中央部、側部、前側及び後側の各領域におけるウエハーの温度を示すアナログ信号を与える。図7に示すように、アナログ信号は、フィルタリングされ(緩衝され)、各A/D変換器188、190、192及び194によってディジタル信号に変換される。ディジタル化された温度情報Y(t)は、データバス196を経てシステムコントローラ170に伝送される。システムコントローラ170は、前記モデル規範型予測制御アルゴリズム及び動的システムモデルを使用する最適な制御手順U*(t)を計算する。将来の処理に必要な情報、すなわち、Y(t)及びU*(t)は、コントローラのデータストレージデバイス内に保存される。システムコントローラ170は、データバス198を通じて制御入力U*(t)をランプドライバアセンブリ174に伝送し、制御信号U*(t)は、直ぐに、適切なSCRパック171、173、175に分配される。SCRパックは、図2の従来技術のシステムと関連づけて前述したように、制御信号U*(t)をランプドライブ信号P(t)に変換する。ランプドライブ信号P(t)は、バス200を経て、反応器20内のランプバンクに伝送され分配される。ランプバンク及びランプドライブ信号は、部分的に温度コントローラ170によって空間的且つ一時的に形成され、予め決められたウエハー22上の空間的且つ一時的な温度プロファイルを与える。
反応器モデルの同定及びパラメータ化
本節は、急激な多変温度反応器のダイナミクスを正確に記述するモデルに到達するため、典型的な同定及びモデリング手順を開示する。以下のモデルは、本発明のモデル規範型予測温度制御システムの核に属するもである。初めに、試験の配置及び条件について述べ、次に、モデル構造及び次元の選択手順について述べる。その後、典型的なモデルの妥当性検証と共にモデルについて述べる。
モデリング及び同定
モデリング及び同定のため、PCベースのデータ取得及び制御(DA&C)システム(図示せず)が、RTCVD反応器に接続されている。DA&Cのハードウェア及びユーザーの間のインタフェースを与えるべく、ソフトウェアを基礎とするシステムが使用される。PCは、例えば、従来のソフトウェアを基礎とするPIDアルゴリズムを使用することにより、反応器内の温度を制御するのに使用される。DA&Cシステムは、開ループモードのシステムに、適切なテスト信号の形態で刺激を与え、温度センサの応答を検出することもできる。この開ループモードは、同定実験中のシステムの動作の実質的な部分を備える。SCRドライブ信号のようなシステムへの入力と、熱電対の読み値のような出力とは、データファイル内に蓄積される。信号の解析及びモデリングは、モデル同定の技術分野における当業者によく知られているソフトウェアを基礎とする解析を使用し、オフラインで実施される。同定実験は、中央部、前側、側部、及び後側の領域用の4つの制御信号から、中央部熱電対44、前側熱電対46、側部熱電対48、及び後側熱電対50への伝達関数のモデルとなる。
RTCVD反応器での同定実験は、大気圧下で、ポリシリコンの堆積のための典型的な温度範囲である600℃〜800℃の間の温度で行われる。コントローラの領域の比率の設定は、650℃における定常状態の均一性が最適化され、実験中一定にされる。システムは、6インチウエハーの処理用に設定される。実験中、20slmの窒素のパージ流が使用される。また、同定実験は、典型的なエピタキシャル堆積条件として、約200℃において1気圧及び減圧下の両方のH2雰囲気中で行われる。ランプバンクの形態は、調整することができ、一般的に、領域分布及びランプパワーの点で、図2に示したものと異なる。反応器の設計技術分野における当業者は、種々のランプバンクの分布が考え得ることを理解するであろう。特に、典型的なランプの分布は、すべてのランプを3kWから7kWの間の同じ公称動力定格で作動させ、ランプ加熱領域へのSCRランプドライバの分布においていくつかの変形を有する。さらに、SCR/ランプの配線は、ランプ間の動力分布を容易にするべく、領域間で異なってもよい。好適なランプバンクの分布、動力及び配線は、一般的に、所望の熱処理及び反応器の幾何学形状に依存する。好適な本実施形態を目的として、好適な設計基準は、周囲領域のより良い制御可能性を有し、且つ、ウェハー及びサセプタの間と同様にウエハーを横切る軽減された温度差を有するランプバンク形状となる。
動的システムの同定を注意深く実験的に設計することは、良いモデルを得るために最も重要である。いくつかの設計変数を考慮しなければならない。すなわち、入力信号の形式及び形状、そのスペクトル、サンプルレート、サンプル数、及びエイリアシング防止用プレサンプリングフィルタである。本来、実験は、情報を与える、すなわち、実験者にシステムについての所望の情報を与えるように設計されなければならない。実験が情報を与えるようにするには、入力する刺激は、継続して生じなければならない。基本的に、これは、入力信号がシステムの全ての関連あるモードを励起するのに十分なスペクトル成分を有さなければならないことを意味する。システム同定及び実験計画における詳細な論述は、1987年ニュージャージー州、イングルウッド クリフス、プランティス−ホールにおけるエル.リュングのシステム同定:ユーザのための理論(L. Ljung, System Identification: Theory for the User, Prentice-Hall, Englewood Cliffs, New Jersey(1987))に記載されている。古典的なシステム同定は、同定目的のためのテスト信号としてステップ信号、パルス又は正弦波を利用する。多変システムの同定用のこれらの信号の現代の均等物は、疑似ランダムバイナリ信号(Pseudo-Random Binary Signal)(PRBS)である。この信号は、ランダムな時間に2つのレベルの間で交互に変わる信号レベルを有する。ここに示された実験では、PRBSは、十分なシステム励起を与えるため、波高値でおよそ1.5Vの振幅が割り当てられている。平均信号レベルは、約650℃の温度に相当する定常状態のコントローラの出力電圧レベルに一致するように選択される。サンプリングレートは、約0.5Hzとされる。1時間の実行が記録される。結果として得られるデータセットは2つに分割され、第1の半分は同定のために使用され、第2の半分は、モデルの妥当性検証のために使用される。DCのオフセットは、全ての入力及び出力信号から除去される。
中心部領域に対する典型的入力/出力同定用データセットを図8A及び図8Bに示す。図8Bは、最初の20秒間のシステム刺激を示し、図8Aは、応答を示す。前側領域、側部領域及び後側領域用の対応する同定用データセットは、同じ方法で得られ、実質的に近似した特性を示す。
反応器モデル構造
いったん同定データセットが収集されると、次のステップは、モデル構造を選択することである。一般的に、これは、以下の3つのステップを備える。
1.モデルセットの形式を選択する(例えば、線形又は非線形、入力−出力、ブラックボックス又は物理的にパラメータ化された状態空間)。
2.モデルセットのサイズを選択する。これは、モデル次元の選択と呼ばれ、モデルの記述における自由なパラメータの数を決定する。
3.モデルのパラメータ化を選択する。すなわち、選択されたモデル構造における自由なパラメータの位置を選択する。
モデル構造の選択は、おそらく、フレキシビリティ及び倹約の間のトレードオフを備える。より高い次元のモデルは、よりフレキシブルであるが、不必要な多くのパラメータが真のシステムを記述するのに使用される。さらに、高次元モデルは、モデル規範型コントローラにおいてオンラインで使用するのがより困難である。システムモデリングの原理及び指針は、システム制御の技術分野における当業者によく知られている。モデル構造の選択についてのより深い論述は、リュング(1987)を参照すればよい。
III.D.節で述べたように、多重入力で、多重出力のモデル規範型予測コントローラの本実施形態は、式(15)における自己回帰移動平均(auto-regressive moving average)で表現された多重入力で、多重出力の多項式モデルを利用する。モデルは、フィルタプロセス信号(Yf)j及び(Uf)j(式(17)及び(18)参照)の都合のよい記述を可能にする、多重入力で単一出力の線形多項式の結合された1セットとして考えることができる。
表Iに示された典型的なモデルパラメータは、以下の式によって定義される多重入力多重出力(MIMO)の3次元多項式のモデル係数を対象としている。
Figure 0003956057
ここに、n=m=4であり、すべてのjに対して
j=1,
j=1-q-1
j=(1-C1q-1)(1-C1q-1
である。
Figure 0003956057
Figure 0003956057
典型的な本システムにおいて、i及びjは、領域の数に対応する(すなわち、1=中央部、2=前側、3=側部、4=後側)。
反応器モデルの妥当性検証
いったんモデル構造が選択され、パラメータ化が見出されれば、提案されたモデルは、好適に妥当性の検証が行われる。モデルの妥当性検証についての標準的な技術は、シミュレーション、剰余の解析、及び相互相関の解析を備える。
シミュレーションにおいては、通常、新しいデータセット、すなわち、同定段階で使用されなかった実システムからのデータが使用される。モデルには、実システムと同じ入力が送られ、モデルの出力とシステムの出力とが比較される。このような典型的な比較は、再び中央部領域に対して図9においてなされ、モデルの構築には使用されなかった実験の最後の30分間のデータを使用している。図9では、モデルの出力302及びシステムの出力300、この場合、定常状態の値を減算した後の中央部の熱電対の読みの両方が、時間軸(サンプルで測定。サンプリング間隔は固定時間)でプロットされている。適合度の測定は、曲線300及び302から導き出される。図示された曲線は、およそ3.5の平均2乗偏差を有するが、より小さい値が、より良い適合度を意味する。前側領域、側部領域、及び後側領域に対する対応する妥当性の検証は、実質的に同程度の適合性を得る必要がある。
剰余解析は、モデルによって説明されずに残っている構造的情報があるか否かをチェックするのに使用される。理想的には、剰余(モデルの予測とシステムの出力との差異)は、モデルがシステムを正しく記述するべく、白色か又は時間に対してランダムであり、入力に依存しないものである必要がある。図10における曲線304は、中央部領域の出力に対する剰余の相関関数を、25のサンプリング間隔までの遅れに対して示している。点線は、剰余が本当に白色だとすれば、99%の信頼限界を示す。システム入力と剰余との間の相互相関もまた、RMS偏差が99%信頼限界の下方に位置する状態で、ゼロ平均を示す必要がある。図10において曲線306で示されたような挙動は、全ての相互相関量について観察されなければならない。これは、説明されていない入力/出力の系統的相関が著しくないことを示している。
モデルの妥当性検証のための最後の試験として、モデルは、過去の入力及び出力についての情報を使用する熱電対の読みを予測するのに使用される。図9において使用したような新しいデータセットもまた、図11に示す現在の比較において使用される。図11は、システム出力(中央部の熱電対)、及びモデル予測器を使用して作成されたシステム出力の1分間先の予測値を示す。モデルの予測能力が優れていることに留意しなければならない。前側領域、側部領域及び後側領域の予測結果(図示せず)は、同様の挙動を示す。
ここに述べた同定及び検証技術を使用すれば、前述のモデルは、大気圧下、600〜800℃の温度範囲にある典型的なRTP反応器に対し、極めて正確なシステムダイナミクスの記述を与えるということが分かっている。ARMAXモデルは、モデル規範型予測コントローラの好適な本実施形態に特に有効な予測能力を有することが示されている。モデルの先読み特性は、例えば、オーバシュートを最小化するのに使用することができ、回復時間を改善し、手段のサイクルタイムを最小化する。モデルの正確な形式は、本発明の本質及び範囲から離れることなく、かなり変化し得ることが認識されるであろう。一般に、モデルの形式は、フレキシビリティ、正確さ、感度、ロバスト性及び速度を含む種々の要因に基づく要求により決められる。他の好適な実施形態は、正確さの著しい損失を伴うことなく、計算型オーバヘッドを最小化するためモデルの次元を軽減することである。さらなる好適な実施形態は、
−適応性のある挙動を備えるように予測コントローラを拡張し、モデルパラメータ自体がリアルタイムの評価及び改良を受け、
−強制入力の最適化を利用する
ことを備える。最適な制御手順(29)は、システムへの入力エネルギーにおける制約を考慮していない(線形性を仮定)。これは、早い加熱及び冷却の間における最適でない挙動に通じる。この状況は、制約に背くために提案された制御動作をチェックすることにより改善される。仮に、制御動作が制約に背くとすれば、それは、リミット値に設定され、残りの「自由な」将来動作は、再計算される。この処理は、繰り返され、全ての将来動作がそのリミット値になるか、又は、繰り返しが新しい制約動作を付加しなくなれば終了する。この簡易な新しい技術は、従来の2次のプログラミング手段よりも実質的に実施するのが容易である。
−ARMAXモデルに連続して静的ゲイン(非線形)をモデル化するべく、好適にはニューラルネットワークを利用することにより、線形モデルを非線形モデルに拡張する。
反応器の試験
これまで見てきたように、動的システムモデルの好適な実施形態は、反応器20内の多数の加熱領域の動的挙動を追跡し、予測することが可能である。同様に、本発明の好適な多重温度制御システムは、図12Aに例示するように、反応器20の各加熱領域に対する予め決められた一時的な一連の温度を維持することが可能である。図12Aの実線で表した曲線400、402、404、406は、独立した加熱領域、すなわち、中央部、側部、前側及び後側の各温度設定値のシーケンスを示す。点線で表した曲線401、403、405及び407は、温度コントローラ170による動作の結果として生じた中央部、側部、前側及び後側の加熱領域の各温度プロファイルである。領域間の遅れは、全ての領域で並行して作動するコントローラ170による予測動作により、実質的に除去されている。さらに、図12Aで意図的にプログラムされた領域間の温度差は、比較的単純な領域間のオフセット制御の問題となる。図12Bに示すように、温度コントローラ170は、与えられた時間における各加熱領域に適したドライブ信号を備えた複数のSCRを供給する。曲線410、412、414及び416は、それぞれ、中央部、側部、前側及び後側のSCRドライブ信号に相当する。このように、一時的な設定値シーケンス及び実際の温度プロファイルが、4つの加熱領域(図12A)の各々に対して定性的に近似する場合、各領域に対するSCRドライブ信号は、温度コントローラ170によって決定される極めて異なる挙動を示す。
予測制御の汎用性の典型的なデモンストレーションを図13Aに示す。図13Aにおいて、各分離された領域は、初め正で次に負であるステップ状の温度シーケンスを備えている。図13Aに示すように、中央部領域(1)は、最初は正の温度偏位をとり、次に負の温度偏位をとるようにプログラムされており、連続して、側部領域(2)、前側領域(3)及び後側領域(4)が続く。コントローラ170は、各領域が独立してプログラムされた温度プロファイルを維持するように、4つの領域の全てに対し同時に必要な制御信号を与える。特定の領域が上昇又は降下する際、他の領域の温度は、実質的に変化せず、モデル規範型予測制御の結果として、実質的に完全な加熱領域の減結合(decoupling)を示す。図13Bに示すように、好適な実施形態によって表された例外的な温度制御もまた、制御信号において明白である。領域間の強い熱結合を明らかにするべく、指示された温度プロファイルを空間的及び一時的に維持するのに適切な信号で各領域を駆動することにより、コントローラは補正する。急速な熱プロセス反応器において実施される本発明のモデル規範型予測制御システムは、明らかに、空間的な温度均一性と同様に、プロセスのサイクルタイムを実質的に最適化する。
非線形及びニューラルネットワークの実施形態の詳細な説明
非線形RTPプロセス制御の概要
モデル規範型予測コントローラの更に他の実施形態において、前述した線形モデルは、プロセス反応器の非線形モデルを使用することにより、さらに高められる。非線形のモデルを実施するための好適な方法は、ニューラルネットワークの使用を含む。ニューラルネットワーク規範型非線形予測コントローラの好適な実施形態は、ASMA反応器のサセプタ温度制御用のニューラル拡張予測制御(Neural Extended Prediction control)(NEPco)ニューラルモデル規範型予測コントローラである。
図14Aは、組立てシステム1400を表すブロック図である。手段ブロック1401は、NEPcoプロセスブロック1402に入力を与える。NEPcoプロセス1402は、1つ又はそれより多くのランプ1403を作動する1つ又はそれより多くのSCRに制御信号を出力する。ランプ1403は、反応器プロセスブロック1404によって表現されている反応器20に熱を与える。反応器プロセスブロック1404からの測定できない一群の出力は、ウエハー表面温度1405である。反応器プロセスブロック1404からの測定可能な一群の出力は、サセプタ温度1406である。サセプタ温度は、NEPcoプロセスブロック1402にフィードバックされ、ウエハー22及びサセプタ24の温度制御を促進する。
ウエハーの表面温度は、堆積プロセスにおいて最も重要である。しかしながら、ウエハーの温度は、通常の動作中には測定されない。制御目的のために直接測定される唯一の信号は、サセプタ温度である。これらのサセプタ温度が、未知のウエハー温度分布の合理的な近似値を与えるということを実験が示してきた。実験の結果は、極めて厳しいウエハーの制御を得るには、良好なサセプタの単独制御でも十分でないことを示している。
本発明のNEPco実施形態は、サセプタ温度信号1406の改良された制御手順を開示する。この改良は、サセプタ24及びウエハー22の温度制御を迅速に改良すると共に、ソフトセンサ原理に基づく種々のモデルを使用する改良の準備をするものである。
図14Bは、ハードウェア、ソフトウェア、及びシステム1400を備える概念的構成要素の概要を示す。読み手は、以下の各節を読む前に、読もうとする節を文脈内に置くため、図14Bに戻って参照することを強要される。図14Bは、システム1400を備える3層構造の要素を示す。前記構造の下位のレベルは、上層の内部要素をより詳細に表す。コントローラシステム層1410は、システム1400を備え、システム1400の最頂のレベルである。下方に進めば、次のレベルは、予測器プロセス1500、直列並列予測器1801、並列予測器1800、及びニューラルネットワーク1600を備える予測モデリングレベル1411である。3つのレベルの最下位は、疑似最小自乗(pseudo least squares)(PLS)ブロック2300、パルス試験ブロック1900、及び初期評価ブロック2400を備える訓練層1412である。
予測モデリング層1411に戻れば、予測プロセス1500が、NEPcoプロセスブロック1402の一部として示されている。直列並列予測器1801及び並列予測器1800は、予測プロセス1500の異なる実施として示されている。単一ステップ応答2100は、並列予測器1800の内部構成要素として示されている。ニューラルネットワーク1600は、並列予測器1800の一部として示されている。
訓練層に戻れば、PLS訓練方法ブロック2300がニューラルネットワーク1600に適用する状態で示されている。パルス試験ブロック1900及び初期評価ブロック2400は、PLS訓練方法ブロック2300への入力であるとして示されている。
非線形プロセスモデル
図15は、非線形プロセスモデル1500のブロック図を表す。プロセス入力u(t)1501は、モデルプロセスブロック1502への単一入力である。プロセス入力1501は、式中u(t)として現れ、典型的にはランプドライバSCRへの電圧である。モデルプロセスブロック1502は、非線形伝達関数f(...)を示す。モデル出力x(t)1504は、プロセスブロック1502の出力である。モデル出力x(t)1503は、後述の式中x(t)として現れ、典型的には℃で表された温度である。
モデル出力x(t)1503及びプロセス外乱n(t)1503は、加算点1506で互いに加算される。加算点1506の出力は、プロセス出力y(t)1505である。プロセス外乱1503は、後述の式中n(t)として表され、典型的には℃で表された温度として表現される。プロセス出力1505は、後述の式中y(t)として表され、典型的には℃で表されたサセプタ温度測定値である。したがって、図15に示すように、プロセス出力1505は、数学的にy(t)=x(t)+n(t)として表すことができる。
プロセス外乱n(t)1503は、モデル出力x(t)1504から生じないプロセス出力y(t)1505中の全ての影響を含んでいる。プロセス外乱n(t)1503は、堆積、ガス流、測定ノイズ、モデル誤差等のような外乱の影響を備える虚偽の(測定できない)信号である。これらの外乱は、典型的には、ゼロでない平均値を備えた確率特性を有する。外乱は、通常、式(31)で与えられる着色ノイズプロセスによってモデル化し得る。
Figure 0003956057
ここに、e(t)は、白色ノイズ(ゼロの平均値を有する無相関のノイズ)であり、
Figure 0003956057
である。線形の場合、q-1は、逆方向シフト演算子である。ここに、q-ns(t)=s(t−n)で、s(t)は、時間依存性信号であり、tは、離散的な時間指数(t=0、1、2、・・・)を示す。フィルタC(q-1)/D(q-1)は、外乱モデルである。多くの許容可能な外乱モデルが考えられるが、ASMAアプリケーションに好適な実施形態においては、モデルは、以下の構造を有する。
Figure 0003956057
ここに、c及びdは、設計パラメータである(好適な値は、c=d=0である)。
モデル出力x(t)1504は、サセプタ(熱電対)の温度におけるパワー入力u(t)1501の影響を表す。これは、制御動作に外乱を加算した結合された影響のみが熱電対センサ44、46、48及び50を経て測定可能であるため、測定不能の信号である。
入力u(t)及び出力x(t)間の関係は、現在の温度x(t)が現在の入力u(t)に依存せず、前の温度(x(t−1)、x(t−2)、...)及び前の入力(u(t−1)、u(t−2)、...)に依存する点で、動的な関係である。さらに、実験による測定は、典型的ASMA反応器に対し、u(t)及びx(t)の関係も極めて非線形であることを示している。例えば、一つの実験において、結果としての温度における特定のパワー入力の変化の影響は、1100℃と比較して800℃近辺では全く異なるということが分かった。これらの温度は、異なる反応器は異なる特性を示すため、単なる例示である。
このように、u(t)からx(t)への影響は、非線形の動的モデルによって表すことができる。ここに、伝達関数f[...]1502は、以下のような未知の非線形関数である。
Figure 0003956057
好適な実施形態において、関数f[...]は、ニューラルネットワークとして実施される。
図16は、典型的なニューラルネットワークを示している。図16において、過去のモデル出力1604{x(t-1),x(t-2),...}のセット及び過去のモデル入力のセット{u(t-1),x(t-2),...}は、入力ニューロン1601の1つの層への入力として示されている。前記入力ニューロン1601は、入力ニューロン1601の各々が隠れニューロン(hidden neurons)1602の各々に接続されるように、該隠れニューロン層1602につながっている。前記隠れニューロン1602は3つの隠れニューロン1610,1611及び1612を含んでいる。前記隠れニューロン1602は標識化されたz1...zi...znを出力している。前記z1は第1隠れニューロン1610の出力となり、前記znは最終隠れニューロン1612の出力となっている。入力ニューロン1601及び隠れニューロン1602間の接続は、wij [1]と標識化されている。ここで、iは出力ziを有する隠れニューロンを表し、jは接続されている入力ニューロンを表している。また、上付添え字[1]は、ニューロンの第1層から接続が開始していることを表している。隠れニューロン1602の全ては、wi [2]と標識化された接続ラインを介して、出力ニューロン1613に接続されている。ここで、iは、出力ニューロン1613に接続されている隠れニューロン出力ziを表している。上付添え字[2]は、ニューロンの第2層から接続されていることを表している。
入力ニューロン1601は、ニューロンが如何なる計算も行わない不活性ニューロンであり、入力信号の隠れニューロン1602への分配のみを行う。ASMA処理の好ましい実施の形態においては、第3番目のモデルが用いられる。該第3番目のモデルとは、x(t)より前の3つの値、即ちx(t-1),x(t-2)及びx(t-3)、及びu(t)より前の3つの値、即ちu(t-1),u(t-2)及びu(t-3)に対応した6つの入力ニューロン1601が、入力層1601への入力として供給されることを意味する。
前記隠れ層は、好ましくは、非線形のシグモイドタイプ(sigmoid-type)のニューロンを含んでいる。該シグモイドタイプのニューロンは、該技術分野において周知である(例えば、ジェームス エイ フリーマン及びデビッド エム スカプラ著「ニューラルネットワーク」アジソン ウェスレー発行1991年参照)。前記隠れニューロン出力ziは以下のように計算される。
zi=s(Wi [1]・I+bi [1]
ここで、Iは次式によって算出される入力ベクトルである。
I=[x(t-1)x(t-2)x(t-3)u(t-1)u(t-1)u(t-1)]T
また、Wi [1]は次式によって算出される重み付けベクトルである。
i [1]=[wi1 [1]wi2 [1]wi3 [1]wi4 [1]wi5 [1]wi6 [1]
前記関数s(x)は、図22においてグラフ化され、且つ、次式によって数理的に与えられるシグモイド関数である。
Figure 0003956057
重み付けベクトルWi [1](i=1...n)及びバイアス値bi [1](i=1...n)におけるパラメータは未知であり、ニューラルネットの調整期間中の実験データから推定される。前記バイアス値bi [1]は、操作モデルにおけるオフセットを補償するために用いられる。前記オフセットは、入力u(t)が0の時、出力x(t)は必ずしも0でないという事実から生じるものである。
図19は、簡単なニューラルネットワーク1900を示している。該簡単なニューラルネットワーク1900は、シグモイドタイプの単一隠れニューロン1904を備えている。該隠れニューロン1904は、モデル出力x1(t-1),x1(t-2)及びx1(t-3)を有する一群の入力1901を備えている。前記隠れニューロン1904は、さらに、モデル入力u1(t-1),u1(t-2)及びu1(t-3)を有する一群のモデル入力1902を備えている。前記隠れニューロン1904は、さらに、モデル入力u4(t-1),u4(t-2)及びu4(t-3)を有する一群のモデル入力1903を備えている。図19は、さらに、隠れニューロン1904が、モデル入力u2(t-1),u2(t-2),u2(t-3),u3(t-1),u3(t-2)及びu3(t-3)を有する入力を備えていることを表している。隠れニューロン1904の出力は、線形出力ニューロン1905を供給する。前記ニューラルネットワーク1900は単一の出力x1(t)1906を有している。
最も簡単なニューラルネットワークは、隠れ層1602(n=1)中に単一のニューロンを有し、これによる単一の出力z1を有している。単一のニューラルネットワーク1900(n=1である場合)がASMA処理にとって良い選択であることが、実験的に明らかにされた。さらに加えられる隠れニューロンは制御性能の向上を提供するが、演算負荷(computional load)及びモデリング労力(modeling effort)は双方とも飛躍的に増加する。
出力層は、単一の線形出力ニューロン1613を含んでいる。出力ニューロン1613の出力は、後述のように計算される。
x=W[2]・Z+b[2] (33)
ここで、Z=[z1z2...zi...znT
[2]=[w1 [2]w2 [2]...wi [2]...wn [2]
である。
隠れ層(n=1)中にニューロンを一つのみ有するASMA処理においては、式(33)は
x=w[2]z+b[2]
となる。
前記出力ニューロンにおける重み付け及びバイアスは、隠れニューロンにおけるそれらと共に、結びつけられる。実際、重み付け及びバイアスパラメータの全ては共に、未知のプロセス動特性(process dynamics)のモデルを構成する。
非線形多段階予測
線形の場合におけるように、記号y(t+k|t)は、k=1...N2である場合の、時間tにおけるy(t+k)の予測値を示している。前記N2は予測層位(prediction horizon)である。このように、y(t+k|t)は、
・時間tにおいて入手可能な測定値、即ち、{y(t),y(t-1),...,u(t-1),u(t-2),...}、及び
・入力{u(t|t),u(t-1|t),...}の未来(予測)値
に依存する。言い換えれば、記号(...|t)は、「時間tでの予測」を意味している。処理モデル1500を用いると、図15から、次式が導かれる。
y(t+k|t)=x(t+k|t)+n(t+k|t)
方法
非線形ネットワークモデルの再帰(recursion)に関する技術分野において公知の多くの可能な構成の中で、ASMA反応器(reactor)のモデル化にとって最も好ましい2つの構成は、平行モデル及び直並列モデルである。非線形モデル1502はニューラルネットワークに依存することを要求されない。しかしながら、好ましい実施の形態はニューラルネットワークを用いている。他の(ニューラルネットワークを使用しない)実行方法も可能であることは理解されるが、この提示の便宜性及び明確性のためは、モデルはニューラルネットワークを用いて実行されると考えられている。
図17A及び図17Bは、2つの一般的な再帰ネットワークのブロック図を示している。図17Aにおいて、モデル1701は、入力ベクトル1707及び単一の出力x(t+k|t)1704を有するニューラルネットワーク(NN)プロセスブロックとして示されている。入力ベクトル1707は、モデル出力1504を有する一群の入力1702を備えている。モデル出力1504は、x(t+k-1|t),x(t+k-2|t)及びx(t+k-3|t)を有している。入力ベクトル1707は、プロセス入力1501を有する一群のプロセス入力1703を備えている。入力1501は、u(t+k-1|t),u(t+k-2|t)及びu(t+k-3|t)を有している。図17Bは、NNブロック1751として直並列モデルを示している。前記NNブロック1751は、NN入力ベクトル1757及び単一の出力x(t+k|t)1754を有するプロセスブロックである。NN入力ベクトル1757は、プロセス出力1505を有する一群の入力1752を備えている。入力1505は、y(t+k-1|t),y(t+k-2|t)及びy(t+k-3|t)を備えている。NN入力ベクトル1757は、さらに、プロセス処理入力u(t+k-1|t),u(t+k-2|t)及びu(t+k-3|t)を有する一群の入力1702を備えている。
平行モデルも、また、独立モデル、好ましくは、安定プロセス専用として、該技術分野において公知である。直並列モデルは、非安定プロセスに使用することも可能である。前記両モデルを用いて同様の制御性能を得るために、外乱モデル(disturbance model)は、別々に選択されるべきである。ASMA処理に対し双方のモデルが使用可能であるが、平行モデルがより好ましい。そのため、該平行モデルについてさらに詳細に説明する。
平行モデル:x(t+k|t)の予測
各サンプリング瞬間t毎に、再帰はk=0状態で始まり、x(t|t)はNN入力ベクトル1707[x(t-1)x(t-2)x(t-3)u(t-1)u(t-2)u(t-3)]を用いて演算される。前記NN入力ベクトル1707は過去の値を含んでおり、従って、時間tにおいて既知である。x(t)≡x(t|t)であり、この値は未来のサンプリング瞬間における更なる用途の為にデータベースに保存され得るものであることに注意せよ。
それから、k=1である場合において、過去に計算されたx(t|t)は、NN入力において、x(t+1|t)を計算するために、使用される。x(t+1)≠x(t+1|t)であるが、x(t+1)≡x(t+1|t+1)であることに注意せよ。x(t+1|t)値は、時間t後に放棄され得る。再帰は、各サンプリング瞬間毎に再スタートされる。なぜなら、k>0である場合においては、x(t+k|t+1)≠x(t+k|t)であるからである。実際、x(...|t+1)は時間t+1において入手可能な且つ推定される情報に基づいて計算され、x(...|t)は時間tにおいて入手可能な且つ推定される情報に基づいて計算される。知識ベースが各サンプリング瞬間においてセンサーデータから入力される情報によって更新される毎に、前記情報は異なるものとなる。
平行モデル:n(t+k|t)の予測
時間tにおいて、x(t)は、NNモデル1701を用いて、データ[x(t-1),x(t-2),x(t-3),u(t-1),u(t-2),u(t-3)]に基づき計算される。外乱n(t)の現在値は、処理モデルn(t)=y(t)-x(t)を用いて、測定値y(t)に基づき計算される。n(t)の過去値、即ち、{n(t-1),n(t-2),...}はコンピュータメモリにおいて入手可能であることに注意せよ。
フィルターされた外乱信号
Figure 0003956057
は、差分方程式
nf(t)=-c1・nf(t-1)-c2・nf(t-2)-...+n(t)
+d1・n(t-1)+d2・n(t-2)+...
を用いて計算される。
外乱モデルは、
Figure 0003956057
であるから、信号nf(t)=e(t)となる。ホワイトノイズ(white noise)が定義によって非相関とされている場合、該ホワイトノイズの最良予測は0であるところの平均値である。従って、
nf(t+k|t)≡0,k=1...N2
となる。
外乱の最良予測は、
Figure 0003956057
から得られる。前記式は、下記差分方程式を用いて計算され得る。
n(t+k|t)=-d1・n(t+k-1|t)-d2・n(t+k-2|t)-...
+nf(t+k|t)+c1・nf(t+k-1|t)
+c2・nf(t+k-2|t)+...
前記再帰はk=1...N2に対し行われる。再帰はk=1から始まる。右辺の信号値、即ち、n(t|t),n(t-1|t),...,nf(t|t),nf(t-1|t),...は既知であり、一方、nf(t+1|t)=0である。計算値n(t+1|t)はnf(t+2|t)=0と共に、右辺において、n(t+2|t)等を計算するために用いられる。
アルゴリズム
図18は、各時間ステップtにおいて、n(t+k|t),u(t+k|t)及びy(t+k|t)に対する新しい予測セットを演算するためのプロセスを表すフローチャートである。
(1)プロセスブロック1801でy(t)を測定し、該データを{y(t),y(t-1),...}を含むデータベースに保存する。
(2)プロセスブロック1802でu(t-1)を測定し、該データを{u(t-1),u(t-2),...}を含むデータベースに保存する。
(3)プロセスブロック1803において、未来の制御指針{u(t|t),u(t+1|t),...u(t+N2|t)}を推定する。
(4)プロセスブロック1804において、現在のモデル出力x(t)を、
x(t|t)=s(W[1]・I+b[1])・w[2]+b[2]
により計算する。
ここで、s(...)はシグモイド関数であり、
I=[x(t-1)x(t-2)x(t-3)u(t-1)u(t-2)u(t-3)]T及び
[1]=[w1 [1]w2 [1]w3 [1]w4 [1]w5 [1]w6 [1]],b[1],w[2],b[2]が、NN重み付け及びバイアスパラメータであることを示している。x(t|t)は実際の予測でないことに注意せよ。なぜなら、x(t|t)は過去値のみに依存し、未来の制御入力に依存しないものであり、従って、x(t|t)≡x(t)であるからである。前記x(t|t)は次のサンプリング瞬間に再び使用されるものであるから、{x(t),x(t-1,x(t-2),...}を含むデータベース内に保存される。
(5)プロセスブロック1805においてn(t)=y(t)-x(t)を計算し、該値を{n(t),n(t-1),n(t-2),...}を含むデータベース内に保存する。
(6)プロセスブロック1806において、下記の式からフィルターされた外乱信号nf(t)を算出し、{nf(t),nf(t-1),nf(t-2),...}を含むデータベース内に保存する。
nf(t)=-c1・nf(t-1)-c2・nf(t-2)-...
+n(t)+d1・n(t-1)+d2・n(t-2)+...
(7)プロセスブロック1807において、予測値をリセットする。即ち、
nf(t+1|t)=nf(t+2|t)=...=nf(t+N2|t)≡0
(8)プロセス1808において、予測値n(t+1|t),n(t+2|t),...,n(t+N2|t)を下記式から算出する。
Figure 0003956057
(9)プロセス1809において、下記式から予測値x(t+1|t),x(t+2|t),...,x(t+N2|t)を算出する。
Figure 0003956057
(...|t)で示される全データは、原則として、時間t後に放棄され得るものである。なぜなら、時間tにおいて入手可能な情報に基づくこれらのデータは、新しい情報が得られた後の各サンプリング瞬間において、再計算され得るからである。
非線形の単一入力・単一出力を有する予測コントローラ
線形の場合におけるように、まず、単一入力単一出力(SISO)のコントローラを説明する。なぜなら、該SISOコントローラは、より一般的な多段入力・多段出力のコントローラよりも簡単であり、既に基本原理を説明しているからである。図20は、(下記に定義する)α=0の場合における前記SISOコントローラの波形を表している。図20は2軸表示で示されている。該2軸とは、uを表す曲線2003、yを表す曲線2004及び曲線w/rを表す水平線2005を表示するためのx軸2001及びy軸2002である。y軸2002は、時間tにおいて、x軸上に位置している。それ故、y軸2002より右側にあるx軸2001上の時間値は、u(t+k|t)等のように、未来を表す。同様に、y軸2002より左側にあるx軸2001上のポイントは、過去を表す。
SISOコントローラの最終的な目的は、下記に示すコスト関数(cost function)の最小化を図る制御入力u(t|t)を見つけ出すことである。
Figure 0003956057
ここで、
k>Nuに対し、△u(t+k|t)=u(t+k|t)-u(t+k-1)≡0、
k=1...N2に対し、r(t+k|t)=αr(t+k-1|t)+(1-α)・w(t+k|t)、及び
r(t|t)=y(t)
である。
デザインパラメータ及びその好ましい値は下記の通りである。
・N2は予測層位(好ましい値は、3...9)
・Nuは制御層位(好ましい値は、1)
・N1...N2は一致層位(好ましい値は、1)
・λは重み付けパラメータ(好ましい値は、0)
・αはフィルタパラメータ(好ましい値は、0)
自由応答及び強制応答
概念的に、未来応答y(t+k|t)は、2つの分離可能な効果(effect)、即ち、自由応答及び強制応答の加算演算であると考え得る。ここで、
y(t+k|t)=yfree(t+k|t)+yforced(t+k|t)
である。
自由応答yfree(t+k|t)は、(1){△u(t|t)=△u(t+1|t)
=,...,=△u(t+Nu-1|t)≡0}又は{u(t|t)=u(t-1),u(t+1|t)
=u(t-1),...}である過去制御{u(t-1),u(t-2),...}の効果、及び(2)未来外乱n(t+k|t)の効果による必然的な結果である。自由応答yfree(t+k|t)は、図18に示す手順及び
u(t|t)=u(t+1|t)=...=u(t+N2|t)-u(t-1)
を用いて、計算され得る。
強制応答yforced(t+k|t)は、(1){△u(t|t),△u(t+1|t),...△u(t+Nu-1|t)}の必然的な結果である。好ましい実施の形態において、強制応答yforced(t+k|t)は下記のステップを有するステップ入力1920の配列による効果である。
(1)結果として、時間(t+k)(即ち、kサンプル期間経過後)での予測プロセス出力への寄与値gk△u(t|t)となる時間tにおける較差△u(t|t)を有するステップ。
(2)結果として、時間(t+k)(即ち、k-1サンプル期間経過後)での予測プロセス出力への寄与値gk-1△u(t|t)となる時間(t+1)における較差△u(t+1|t)を有するステップ。
(3)総効果が
Figure 0003956057
となるようなステップ等。
パラメータg1,g2,...,gk,...,gN2は、システムにおけるユニットステップ応答の係数である。ここで、ユニットステップ応答とは、(較差1である場合の)システム入力における段階的変化に対するシステム出力の応答である。NN等の非線形システムにとって、ユニットステップ応答は各操作点毎に相違する。従って、ユニットステップ応答は、仮の段階的変化を現在のプロセス入力1501に適用し、NNモデル1701を用いてプロセス出力1505上の効果を計算することによって、各サンプリング瞬間毎に計算すべきである。最後に、g0=g-1=...≡0であることに注意せよ。
拡張マトリックス表記法(expanded matrix notation)においては、強制応答は下記のように表される。
Figure 0003956057
ここで、単純化の為に、
Figure 0003956057
として、書き換えると、
Figure 0003956057
となり、コンパクトマトリックス表記法を用いると、
Figure 0003956057
となる。
この表記法を用いると、コスト関数は、
Figure 0003956057
Uに関する極小化は下記に示す最適化問題解答手法を与える。
Figure 0003956057
ここで、Iは単位マトリックスである。
以下に、順にコメントを記す。第1に、U*における第1エレメント△u(t|t)は、制御入力u(t)=u(t-1)+△u(t|t)を算出する為に必要とされる。次のサンプリング瞬間(t+1)において、全体の手順は、新しい測定情報y(t+1)を考慮に入れて繰り返される。これは、MBPCの「後退層位(receding horizon)」原理と呼ばれている。第2に、逆行列が求めれられるべきマトリックス[GTG+λI]は、Nu×Nu*行列である。Nu=1である省略ケースにおいては、前記マトリックスは結果として下記に示すスカラ制御法則(scalar control law)となる。
Figure 0003956057
最後に、表記w(...|t)は、時間tにおいて推定されるような未来の設定ポイント(setpoint)を意味している。設定ポイントが予めプログラムされている場合は、未来の設定ポイント値w(t+k)はw(t+k|t)として用いられる。即ち、w(t+k|t)=w(t+k)となる。但し、k=1...N2である。この予測制御戦略は、現実の設定ポイント変化が生じる前に、前もって動作を行わせる。これを望まない場合は、現在の設定ポイント値がw(t+k|t)として用いられる。即ち、w(t+k|t)=w(t)となる。但し、k=1...N2である。
非線形型多段入力多段出力コントローラ
方法
この項において、前述したSISO原理はMIMO(多段入力多段出力)システムに及ぶ。簡略化の為に、まず、2入力2出力システムを説明する。そこから、4入力4出力のASMA処理まで広げることは、簡単に行われる。
2入力2出力のプロセスモデルは、
y1=x1(t)+n1(t)
y2=x2(t)+n2(t)
となる。ここで、
x1(t)=f1[x1(t-1),...,x1(t-3),u1(t-1),...,u1(t-3),
u2(t-1),...,u2(t-3)]
x2(t)=f2[x2(t-1),...,x2(t-3),u1(t-1),...,u1(t-3),
u2(t-1),...,u2(t-3)]
である。
前述のように、関数f1[...]及びf2[...]は非線形の未知プロセスモデルである。SISOの場合においては、このケースでは単一のニューラルネットワークだけが必要とされ、2入力2出力のケースでは2つのニューラルネットワークが必要とされる。
一対のホワイトノイズ信号e1及びe2が存在すると仮定すると、確率論的な外乱は下記に示すカラーノイズプロセス(colored noise processes)によってモデル化される。
Figure 0003956057
SISOの場合におけるように、目的は、下記のコスト関数を極小化する制御入力u1(t|t)及びu2(t|t)を算出することである。
Figure 0003956057
ここで、△u1(t+k|t)≡0及び△u2(t+k|t)≡0、但し、k>Nu
2×2システムにおける4つのステップ応答の意味が、2出力の各々に対する2入力の各々の段階的変化による効果を説明することによって、明らかにされ得る。出力iに対する入力jのステップ応答における係数は、{g1 ij,g2 ij,g3 ij...}と表示される。
一般的なマトリックス表記を用いると、双方の制御入力に対する予測未来変化に起因するy1(t+k|t)における強制応答は、
Figure 0003956057
となる。また、同様の数式がy2forced(t+k|t)に対しても存在する。
Figure 0003956057
によるy1(t+k|t)における自由応答に注意せよ。全ての自由入力変化を略0として設定すると、u1(t|t)=u1(t+1|t)=...=u1(t-1)及びu2(t|t)=u2(t+1|t)=...=u2(t-1)は、
Figure 0003956057
となり、マトリックス表記を用いると、
Figure 0003956057
となる。また、第2入力に対しては同様に、
Figure 0003956057
となる。
このコンパクト表示を用いると、前述のコスト関数は、(R1-Y1T(R1=Y1)+(R2-Y2T(R2-Y2)+λ(U1 T1+U2 T2)と書き換えられる。
複合行列G1はG1=[G1112]と、複合行列G2はG2=[G2122]と、複合ベクトルUはU=[U1 T2 T]と定義される。
これらの複合値を用いると、予測エラーベクトルに対する表記は、
Figure 0003956057
となり、コスト関数は、
Figure 0003956057
となる。
Figure 0003956057
ベクトルUに関するスカラー表記の極小化は、下記に示す最適化問題解決手法を導き出す。
Figure 0003956057
Nu≡1である好ましいケースにおいてさえも、逆行列、このケースにおいては2×2逆行列が要求されることに注意せよ。nuが制御入力数である一般的なケースにおいては、(Nu・nu)×(Nu・nu)行列の逆行列を求められなければならない。U*における2つの要素だけが時間tでの制御を行う為に使用される。
*(1)=△u1(t|t)⇒u1(t)=u1(t-1)+U*(1)
*(Nu+1)=△u2(t|t)⇒u2(t)=u2(t-1)+U*(Nu+1)
4入力(j=1..._4)及び4出力(i=1...4)に対し2入力2出力のケースを適用すると、直接的に下記式が導かれる。
Figure 0003956057
ここで、Gi=[Gi1i2i3i4],i=1...4
U=[U1 T2 T3 T4 TT
Uj(t)=uj(t-1)+U*(1),j=1...4
である。
アルゴリズム
各サンプリング瞬間において、4つのサセプタ温度センサ出力44,46,48及び50のそれぞれに対し4つのSCR入力のそれぞれが関連した下記に示す16のステップ応答が存在する。
{g1 ijg2 ij...gN2 ij},但しi=1...4,j=1...4
ステップ応答は、各入力uj,j=1...4,毎に、各出力xi(i=1...4)に対する4つのニューラルネットに関連する4つのプロセスモデルにおける大きさSjのステップを入力することによって計算される。
図21は、ステップ応答を計算するために必要なステップを示している。処理はループ制御ブロック2101で開始する。ループ制御ブロック2101において、ループカウンタは第1入力を表す値1にセットされる。そらから、処理はu1(t+k|t)が下記のように初期化設定されるプロセスブロック2102に進む。
Figure 0003956057
その後、処理は、ニューラルネットワークの出力が計算されるプロセスブロック2103に進み、その結果、
Figure 0003956057
となる。そして、次の入力を表示する為にループカウンタnを増加させるループ制御ブロック2104に進む。その後、u2(t+k|t)が下記のように初期化設定されるプロセスブロック2102に戻る。
Figure 0003956057
その後、ネットワークが下記計算の為に使用されるプロセスブロック2103に進む。
Figure 0003956057
前記処理は、全ての入力がループカウンタnを通過するまで、繰り返される。プロセスブロック2104において、ループ指数nがニューラルネットワークの数より大きくなると、処理はプロセスブロック2105に移行する。プロセスブロック2105においては、
Figure 0003956057
が設定され、プロセスブロック2106に進む。
プロセスブロック2106においては、4つのNNモデルを用いて下記計算を行う。
Figure 0003956057
応答{x1 [0](t+k|t)...x4 [0](t+k|t)}はニューラルネットワークにおける自由応答であり、
Figure 0003956057
であるシステム自由応答
Figure 0003956057
を計算するために使用される。その後、処理はプロセスブロック2107に移行する。該プロセスブロック2107は、ステップ入力を有するNN出力及びステップ入力を有さないNN出力(自由応答)間の差異を意味する入力の段階的変化による効果を、下記式によって計算するものである。
Figure 0003956057
ここで、i=1...4は出力数を、j=1...4は入力数を示し、ステップサイズSjでの割り算はユニットステップにおける効果を得る為に必要である。非線形システムにおいて、ステップサイズSj,j=1...4,の大きさは、特定システムに適用予定である実際の入力変化△ujに応じて、選ばれるべきである。ASMA処理にとって、好ましい選択はS1=S2=S3=S4=0(SCR入力に対する範囲としては0...5)である。
ニューラルネットワークの学習
モデル規範型予測制御(MBPC)は、モデル1502の信頼性に大きく依存する制御方法である。先行部は、どのようにモデルが発生されるかを詳細に説明せずに、好ましくはニューラルネットワーク1600に基づき、モデル1502の存在を大きく仮定した。この部分は、モデル1502のための基礎としてニューラルネットワーク1600を用いる利点の簡単な議論で始まり、モデルがどのように発生されるかを記述する。モデルがニューラルネットワーク1600に基づいているため、モデルの発生は、主にニューラルネットワークの学習プロセスである。ニューラルネットワークの学習は、図14Bの学習レイヤー1612に対応し、その図に示されるPLS学習法2300、パルス試験実験1900及び初期推定2400を必要とする。
制御目的のための物理的システムのモデリングでは、システムの入力と出力との数学的な関係(モデル)を見つけなければならない。ASMAアプリケーションモデリングは、サセプタ熱電対信号44、46、48、50(出力)にSCR信号(入力)の効果を記述する数学的モデルの構成を必要とする。モデルは、プロセスの下位物理的特性に依存し、この場合、プロセスは、主に熱プロセスである。第一の原理モデルの構築の代わりに、複雑な物理化学法則から始め、好ましいアプローチは、ブラックボックスモデル(ニューラルネットワーク)を使用し、同定実験中に反応器から得られる実験データを用いてそのネットワークを学習させる。
得られたモデルは、反応器が温度範囲及び反応器の構成の同様の条件において動作する限り、それが同定実験中に使用されるものより確かな実験データであるという点に関して全く一般的であるべきである。本質的な変化が起こった場合、プロセスは、一般に再モデル化される必要があるであろう。典型的なASMA反応器のモデリングは、必要な同定実験を含めて1時間にも満たない。
好ましい実施例では、擬似最小二乗法(PLS)が、ASMA反応器の非線形モデルとしてニューラルネットワーク1600を学習させるのに使用される。NN−モデルは、図14Bに示すようにNEPco予測制御方法にさらに使用される。
学習プロセスは、以下の一般的なステップからなる。
(1)モデリングデータを得るために反応器を用いた実験を行う。ここで、好ましい実施例では、この実験は、パルス試験実験1900である。
(2)パルス試験実験1900から得られたデータを用いてニューラルネットワーク(NN)1600を学習させる。ここで、好ましい実施例では、NNモデルは、擬似最小二乗(PLS)法2300を用いて学習される。
(3)結果となるモデルを検証する。
パルス試験実験1900及びPLS法2300について以下に詳細に説明する。好ましい実施例では、モデリングのタスクを実行するために必要なソフトウエアは、MATLAB(登録商標)を用いて行われる。しかしながら、好ましい実施例では、容易に他の言語で再コード化できる。
パルス試験同定実験
好ましい実施例では、ASMA反応器は、表IIに示されるような4入力(SCR−信号)及び4出力(熱電対信号)を用いたシステムである。
Figure 0003956057
反応器は、コンピュータ制御され、すべての信号は、離散時間基準でサンプリングされる。シンボルtは、離散時間指標(1、2、3、…)を示す。ニューラルネットワーク1600の学習は、一組のモデリング係数{W[1]、b[1]、W[2]]、b[2]}の発生を必要とする。モデリング係数は、サンプリング期間SamplePeriodに依存する。好ましい実施例では、SamplePeriodは、2秒である。モデルにおける数値は、このサンプリング期間に依存する。これは、このモデルに基づく制御が2秒のサンプリング期間を用いて実行されることを意味する。サンプリング期間は、悪影響を与えないで変更することができるが、制御のサンプリング期間が変更されれば、新しい一組の係数を計算する再モデリングは、慎重に行うべきである。
モデルの特長は、各出力{y1…y4}が4個の入力{u1…u4}のすべてに依存することである。この関係を特定するために、有用な同定データを得るために反応器を用いた実験が必要である。特に好ましい実施例は、パルス試験であり、それは、各SCR入力におけるパルスを連続的に送信し、各熱電対の反応を測定することからなる。反応器の非線形動作範囲全体(例えば、800℃〜1100℃)をカバーするために、試験がSCR入力のいくつかの基準値で繰り返される。パラメータの持続時間は、各パルスがどのくらい持続するかを決定する。好ましい実施例では、持続時間は、5サンプル(10秒)である。
パラメータBaseValueは、ボルト(V)単位でSCR入力の一つ又はそれ以上の基準値を含む列べクトルである。典型的なBaseValueは、反応器の温度[800,950,1100](℃)に対して約[0.8,1.3,2.0]である。3個以上の基準値を使用することができ、より高精度にされ、しかしながら、これは、対応してより長い実験を必要とする。パルスは、各基準値に対して連続的に実行される。パラメータの期間におけるサンプル数として特定される二つのパルスの間の時間は、反応器の整定時間に依存する。一般的な反応器の場合、パラメータの期間の典型的な値は、60サンプルと120サンプルとの間である。これらのパラメータ値は、臨界的でなく、値の広範な変更が許容可能な結果を生むだろう。
パルス試験実験の持続時間は、Nサンプル(2*N秒)であり、N=持続時間*期間*N基数であり、N基数は、ベクトル基準値のエントリー数である。パルス試験実験1900の結果は、パルス試験実験の全入出力サンプルを含むデータセットである。このデータセットは、NNモデルを学習させるモデリングソフトウエアにより使用されてもよい。
擬似最小二乗NN学習法
PLS法の数学的な概要
図16に示すように、温度制御のためのフィードフォワードニューラルネットワークの好ましい実施例は、n個の入力xj(j=1…n)、非線形シグモイド型ニューロンを有する一つの隠れレイヤー、及び一つの出力yを有する線形出力レイヤーを備える。入力レイヤーは、非活性ニューロンのレイヤーである。非活性ニューロンは、計算を実行せず、それらは、隠れレイヤーのニューロンに入力信号を分配するだけである。隠れニューロンは、出力ziを有し、ここで、i=1…m、iは、特定の隠れニューロンである。出力ziは、以下のようにして計算される。
Figure 0003956057
重みベクトルWi 1(i=1…m)及びバイアスbi [1](i=1…m)におけるパラメータは、未知であり、実験データから推定しなければならない。バイアスは、入力xが零のときに出力yが必然的に零にならないということを補償するために好ましい。
出力レイヤーは、単一の線形ニューロンを含む。出力yは、以下のようにして計算される。
Figure 0003956057
ここで、再び、NNの学習は、重みW及びバイアスbの推定を含む。
これらのパラメータのすべての推定のために、パルス試験実験からの一組の学習データが使用される。パルス試験実験からのデータは、実験的な入力X(k)及び対応する出力T(k)を含む(k=1…N)。このように、T(k)は、目標値であり、Nは、サンプル数である。NNの学習は、一組のパラメータWi [1]、bi [1]、W[2]、b[2](i=1…m)等の推定を含み、一組の入力X(k)が与えられると、出力y(k)(k=1…N)は、目標値にT(k)(k=1…N)にできるだけ近づく。
“できるだけ近い”ことは、以下により与えられる二乗誤差の合計(SSE)により一般的に数量化される。
Figure 0003956057
ここで、NNは、非線形であり、このように閉じた形式のの方法は、Wi [1]、bi [1]、W[2]、b[2]の推定に対して現在は知られていない。しかしながら、擬似最小二乗(PLS)と呼ばれる実践的な学習方法は、この応用において十分に機能することがわかった。
PLS法には、単純で、プログラムが容易で、学習速度が速いという利点がある。以下により詳細に説明するPLS法は、初期推定値を見つけ、初期推定値を修正する相互処理を使用することを含む。端的に言えば、相互処理は、ニューロンの隠れレイヤーで始まり、NNを介して出力ニューロンの方に前方に作用し、各レイヤーのパラメータW、bを修正することを含む。以下にPLS法及びその方法の実行の手続を示す。
出力レイヤーのパラメータのPLS推定
出力レイヤーのパラメータ{W[2],b[2]}は、SSE損失値Vを最小にするように推定される。
Figure 0003956057
他のすべてのネットワークパラメータ{Wi [1],bi [1];i=1…m}は、時間tにおいて既知であると仮定される。最小化は、{W[2],b[2]}に関するV(W[2],b[2])の導関数を零にすることにより得られる。
Figure 0003956057
標記を簡単にするために、二つの拡張ベクトル
Figure 0003956057
を規定する。このとき、出力yは、拡張ベクトルにより以下のように記載することができる。
Figure 0003956057
上記の二つの状態は、以下のように組み合わせることができ、
Figure 0003956057
となる。
ここで、
Figure 0003956057
これは以下のようになる。
Figure 0003956057
上記式の最小二乗法は、以下のようになる。
Figure 0003956057
隠れレイヤーのパラメータのPLS推定
隠れレイヤーにおけるニューロンi(i=1…m)のパラメータWi [1]、bi [1]は、SSE損失関数を最小にするように推定される。
Figure 0003956057
他のすべてのネットワークパラメータWi [1]、bi [1];…;Wi-1 [1]、bi-1 [1];Wi+1 [1]、bi+1 [1];…;Wm [1]、bm [1];W[2]、b[2]は、既知であると仮定する。最小化は、{Wi [1],bi [1]}に関するV(Wi [1],bi [1])の導関数を零にすることにより得られる。すなわち、
Figure 0003956057
標記を簡単にするために、二つの拡張ベクトル
Figure 0003956057
を規定する。このとき、
Figure 0003956057
以下の状態
Figure 0003956057
は、以下のようになる。
Figure 0003956057
区別するために連鎖法則を用いると、上記の導関数は、以下のようになることがわかり、
Figure 0003956057
以下の非線形推定量方程式に導かれる。
Figure 0003956057
ここで、以下のように規定される後方に伝搬される誤差項δiを導入すると、
Figure 0003956057
以下のようになる。
Figure 0003956057
ここで、後方に伝搬される最小誤差を導入すると、
Figure 0003956057
ここで、εは、小さい数(例えば、ε=10-4)である。これは、各δi *(k);k=1…Nが小さい数であることを保証する。従って、推定量の方程式は、以下のようになる。
Figure 0003956057
図22は、シグモイド関数を示す。図22は、−3〜3の範囲のX軸及び−1〜1の範囲のY軸に関してプロットされたシグモイド関数を示す。ニューロン入力n2203及び対応するニューロン出力z2206は、それぞれX軸2201及びY軸2202上に示される。仮のニューロン入力n*2204及び対応する仮のニューロン出力z*2205が、ニューロン入力n2203及び対応するニューロン出力z2206から少し離れて配置される。
ニューロン出力z2206は、zi *(k)=zi(k)+δi *(k)の関係に従う仮のニューロン出力z*2205に対応する。従って、ni *(k)は、zi *(k)=s[ni *(k)]となる。
*が与えられると、以下のようにn*を計算することは容易である。
Figure 0003956057
差であるz*−z=δ*が非常に小さいため、任意の精度で以下のように記述することができる。
Figure 0003956057
推定値方程式は、以下のようになり、
Figure 0003956057
を用いて、以下の最小二乗法に導かれる。
Figure 0003956057
PLS手順
この部分では、上記の理論的な考察から離れて、ベクトルW、bを推定するPLS法について要約する。図23は、PLS手順を示すフローチャートである。PLS法は、各ベクトルの初期推定値を必要としない。初期推定値を求めるのに使用され得る多くの方法があるため、推定値を求める処理は、厳密に言えば、PLS法の一部ではない。従って、ここで用いられるPLS法は、初期推定値が利用可能であると仮定する。初期推定値を求める好ましい方法を以下に述べる。
処理ブロック2301において、適当な初期値である初期推定値{Wi [1],bi [1],W[2],b[2]}を計算する。
Figure 0003956057
処理ブロック2302に移行して、以下からk=1…Nに対する初期隠れニュートロン入力N(k)を計算し、
Figure 0003956057
同様に、以下からk=1…Nに対する初期隠れニュートロン出力Z(k)を計算し、
Figure 0003956057
同様に、k=1…Nに対する初期ニューラルネットワーク出力y(k)を計算し、
Figure 0003956057
最後に、以下から現在のSSE損失値Voldを計算する。
Figure 0003956057
処理ブロック2303に移行し、各隠れニューロン(l=1…m)に対する以下の項を計算する。
・導関数
Figure 0003956057
・後方に伝搬する誤差
Figure 0003956057
・スケール化された値
Figure 0003956057
・仮の入出力
Figure 0003956057
・以下からのニューロンに対する新しい重み及びバイアス
Figure 0003956057
・対応する新しいニューロン入力
Figure 0003956057
・対応する新しいニューロン出力
Figure 0003956057
・新しいネットワーク出力
Figure 0003956057
・対応する新しいSSE値Vnew
Figure 0003956057
判断ブロック2307に移行して、もしVnewがVoldより小さい場合、処理ブロック2308に移行し、そうでなければ、処理ブロック2309にジャンプする。処理ブロック2308において、W[1]、b[1]、y(k)、Vnewの古い値をW[1]、b[1]、y(k)、Vnewの新しい値に置き換える。次に、処理ブロック2309に移行する。
処理ブロック2309において、出力ニューロンに対して、W[1]、b[1]を用いてs’[ni(k)]、δi(k)、δi *(k)、zi *(k)を計算する。また、処理ブロック2309において、出力ニューロンに対して、Wnew [2]、bi,new [2]を計算し、それらを用いて、zi(k)、ni(k)、ynew(k)、Vnewを計算する。処理ブロック2313において、出力ニューロンに対する新しい重み及びバイアスは、以下により与えられる。
Figure 0003956057
新しいネットワーク出力は、以下により与えられる。
Figure 0003956057
次に、判断ブロック2313に移行する。判断ブロック2313において、VnewがVoldより小さいとき、処理ブロック2314に移行し、そうでなければ、判断ブロック2315にジャンプする。処理ブロック2314において、W[2]、b[2]、y(k)、Voldの古い値をW[2]、b[2]、y(k)、Vnewの新しい値に置き換える。
判断ブロック2315において、Voldの値が変更され又はある特定の小さい値に達していなければ、他の繰り返しのために処理ブロック2302に処理を戻し、そうでなければ、最終ブロック2316へ移行して処理を終了する。
図23の手続の結果が、新しいパラメータ[W[1],b[1],W[2],b[2]]となり、ネットワーク内部変数{N(k),Z(k)}及び出力値{y(k),V}に関係する。判断ブロック2315に示されるように、全手続は、Vが減少して零又は特定の小さな値より小さくなるまで複数回繰り返すことができる。非線形サーチ手続の事例の場合はいつも、初期値の良好な選択が、繰り返し数を減少し、極小に固定されるのを要請するために最も重要である。
初期化
初期化の問題への好ましいアプローチは、線形モデルのパラメータから開始される。
Figure 0003956057
(1)SSE損失Vを最小化することにより、パラメータ
Figure 0003956057
を計算し、
Figure 0003956057
以下の最小二乗法に導かれる。
Figure 0003956057
(2)以下のようなm個の正のランダム数{a1,…,ai,…,am}を選択し、
Figure 0003956057
と以下とを設定する。
Figure 0003956057
この選択は、各隠れニューロン入力が以下により与えられ、−0.1と+0.1との間にあることを保証し、
Figure 0003956057
その値は、シグモイド曲線の0付近の線形領域内にある。
Figure 0003956057
初期値のこの選択に関するニューラルネット出力は、以下により与えられ、
Figure 0003956057
線形モデル出力に近くなり、それは、合理的なスタート状態である。
ソフトセンサの実施例
モデル規範型予測制御器の他の実施例において、上記に開示される線形及び非線形モデルは、基本的MBPC製造システム1400にソフトセンサを加えることによりさらに強化することができる。
ウェーハ表面の温度は、体積プロセスにとって非常に重要である。しかしながら、ポイントツーポイントのウェーハ温度は、通常操作中には測定できない。サセプタの温度が未知のウェーハ温度分布の妥当な近似を与えることが実験により示された。また、サセプタの良好な制御だけでは非常に厳格なウェーハの制御を得るのに十分でないことを示す実験結果もある。
温度の過渡現象(ランプアップ/ランプダウン)は、ウェーハ及びサセプタの温度がかなり異なる通常の状態である。これは、ウェーハ及びサセプタの異なる質量(熱容量)のためである。温度がオーバーシュートしない(又は非常に小さい)サセプタの良好な制御は、オーバーシュートの低いウェーハの制御に必ずしも結びつかない。さらに、前46個、横48個、後50個のサセプタのセットポイントは、ウェーハ表面の温度の良好な均一さをもたらすために中心44個のサセプタセットポイントに関するオフセットの仕様を必要とする。従来技術では、これらのオフセットは、試行錯誤により見つけられる。
上記問題を解決する、ここに現されるよりシステマテックな方法及び装置は、ソフトセンサの原理を組み込んだMBPCの使用である。その概念は、測定されないウェーハの温度がサセプタの温度とウェーハの温度との間の動的な関係を記述するモデルの結果により置き換えることができるということである。好ましい実施例では、このソフトセンサのモデルは、使用されるウェーハを用いた実験から得られるデータを用いて同定される。
図24は、基本的な製造システム1400のソフトセンサ製造システム2400への拡張を示すブロック図である。レシピブロック2401は、セットポイント発生器ブロック2410に入力を供給する。セットポイント発生器ブロックの出力は、MBPCプロセスブロック2402及びソフトセンサプロセスブロック2412へ入力を供給する。ソフトセンサプロセスブロック2412の出力は、ウェーハの推定値2414である。ウェーハの推定値2414の出力は、セットポイント発生器ブロック2410にフィードバックされる。MBPCプロセスブロック2402は、反応器及びランプシステム2404へ制御信号を出力する。反応器プロセスブロック2404からの測定できない出力群は、ウェーハ表面の温度2405である。反応器プロセスブロック2404からの測定できる出力群は、サセプタの温度2406である。サセプタの温度は、MBPCプロセスブロック2402へフィードバックされ、ウェーハ22及びサセプタ24の温度制御を容易にする。
レシピ2501は、サセプタの温度のセットポイントとして使用される。このとき、基本的制御構造では、レシピは、ウェーハの温度のセットポイントとして解釈される。サセプタの制御のセットポイントは、ソフトセンサの原理を用いた制御方法の内部で計算される。
サセプタのセットポイントとウェーハの温度との間の動的な関係を記述するモデルは、使用されるウェーハを用いて同定される。使用されるウェーハは、ウェーハ20の表面に温度センサを有する特別なウェーハである。これにより、実際のウェーハの表面の温度を測定することができる。これらの測定された値は、ソフトセンサプロセスブロック2412のためのモデリング係数を得るために使用される。反応器の通常の動作中、制御ソフトウエアの一部であるソフトセンサプロセスブロック2412は、ウェーハの温度の推定値を発生するために使用される。
逆ソフトセンサモデルは、中間信号を発生するために使用され、それは、さらに標準のサセプタ制御器のためのセットポイントとして使用される。好ましい実施例では、セットポイント発生器2410は、PIDフィルターであり、ソフトセンサブロック2414は、線形FIRフィルターである。
この結果、サセプタの温度ではなく、ウェーハの温度が、レシピに特定される値の方に制御される。また、この手続は、レシピに近いすべてのウェーハ温度をもたらすために、自動的に中心44個、前46個、横48個、後50個のサセプタのセットポイントに対する必要なオフセットを計算する。これは、ウェーハ表面上の温度のより良好な均一さに導く。
結論
本発明は、好ましい実施例を参照して特定的に示され、記述されるが、本発明の精神、範囲及び教示から逸脱しないで、形態及び細部に種々の変更が可能であることを当業者は理解するであろう。従って、ここで開示される実施例は、添付の請求の範囲に特定されるように単に例示及び限定されて解釈されるべきである。

Claims (27)

  1. 加熱されるべき対象(22)を囲む反応チャンバ(30)と、
    前記対象(22)を加熱する複数の熱エネルギ源(32,34,36,38,40)と、
    複数の熱センサ(44,46,48,50)であって、前記対象(22)実際の温度に関する各熱センサ(44,46,48,50)の温度測定するように構成され、且つ、前記各熱エネルギ源(32,34,36,38,40)によって影響を及ぼされる当該熱センサ(44,46,48,50)の温度に対応する出力信号を発する複数の熱センサ(44,46,48,50)と、
    非線形処理モデル(110)を有するモデル規範型予測式温度コントローラ(100)であって、前記出力信号受信し且つ、前記対象(22)の実際の温度を比較的均一に維持するために選択された空間及び時間に熱エネルギを分配して供給するように、前記出力信号に応答して前記熱エネルギ源(32,34,36,38,40)を制御するモデル規範型予測式温度コントローラ(100)
    を備え
    前記モデル規範型予測式温度コントローラ(100)は、
    多変数処理のために入力される熱エネルギと多変数処理によって出力される温度とを関係付ける多変数熱処理モデル(110)と、
    前記多変数熱処理モデル(110)を使用して、将来の所定の時間に亘る予測される公称温度の出力を計算する予測用計算機(108)と、
    前記予測される公称温度の出力を使用して、前記熱エネルギ源(32,34,36,38,40)を制御するための最適制御法を計算する制御用計算機(112)と、
    をさらに備える、温度制御付き熱処理反応器(20)
  2. 前記予測用計算機(108)は、予め決められた予測層位を有し、平行な再帰に関する前記非線形処理モデル(110)を使用して、前記予測される公称温度の出力を計算する請求項1に記載の温度制御付き熱処理反応器(20)
  3. 前記予測用計算機(108)が、将来の制御法を推測する、請求項に記載の温度制御付き熱処理反応器(20)。
  4. 前記予測される公称温度の出力は最適化されていない初期状態から始まる反復近似手法使用して、予め決められた将来の時間に亘って反復的に(recursively)計算される請求項に記載の温度制御付き熱処理反応器(20)
  5. 前記熱処理モデル(110)が、システムへの入力の変化の影響をシステムへの入力の外乱から実質的に切り離す(decouple)ように構成されている、請求項に記載の温度制御付き熱処理反応器(20)
  6. 前記制御用計算機が、前記予測される温度の公称出力を所望の将来の温度出力と比較するように構成され、且つ、前記最適制御法を算出するために、前記比較を反復アルゴリズムに使用する請求項に記載の温度制御付き熱処理反応器(20)
  7. 前記熱処理モデル(110)は、ニューラルネットワークに基づいている請求項に記載の温度制御付き熱処理反応器(20)
  8. 前記予測用計算機(108)は、ニューラルネットワークを使用して予測される温度の公称出力を計算する請求項に記載の温度制御付き熱処理反応器(20)
  9. 前記予測用計算機(108)が将来の制御法を推測する請求項に記載の温度制御付き熱処理反応器(20)
  10. 前記ニューラルネットワークがフィードフォワードネットワークである請求項に記載の温度制御付き熱処理反応器(20)
  11. 前記ニューラルネットワークが、ニューロン(neuron)の隠れ層(hidden layer)を備えている請求項10に記載の温度制御付き熱処理反応器(20)
  12. 前記ニューロンの隠れ層が、非線形のシグモイドタイプの(sigmoid type)ニューロンを備えている請求項11に記載の温度制御付き熱処理反応器(20)
  13. 前記ニューラルネットワークが、疑似最小二乗法を使用して訓練される請求項に記載の温度制御付き熱処理反応器(20)
  14. 前記制御用計算機(112)が、前記最適制御法を引き出すために、前記予測された公称温度の出力を所望の将来の温度の出力と比較する、請求項に記載の温度制御付き熱処理反応器(20)
  15. さらに、ソフトセンサモデルを備えている請求項1に記載の温度制御付き熱処理反応器(20)
  16. 前記ソフトセンサモデルが、用具化された(instrumented)ウェーハを使用して発生させられたデータセットから生成されている請求項15に記載の温度制御付き熱処理反応器(20)
  17. さらにセットポイント発生器が備えられており、該セットポイント発生器は、前記熱処理反応器(20)のレシピインプットの校正をなし、該校正は実際のウェーハ表面の温度の制御を容易化する請求項1に記載の温度制御付き熱処理反応器(20)
  18. 前記校正は、サセプタ温度の測定に基づいて実際のウェーハ表面温度の改善された制御を容易にする請求項17に記載の温度制御付き熱処理反応器(20)
  19. 反応器内の熱処理制御方法であって、
    前記反応器は、加熱されるべき対象(22)を囲む反応チャンバ(30)と、前記対象(22)を加熱する複数の熱エネルギ源(32,34,36,38,40)と、複数の熱センサ(44,46,48,50)であって、前記対象(22)の実際の温度に関する各熱センサ(44,46,48,50)の温度を測定するように構成され、且つ、前記各熱エネルギ源(32,34,36,38,40)によって影響を及ぼされる当該熱センサ(44,46,48,50)の温度に対応する出力信号を発信する複数の熱センサ(44,46,48,50)と、非線形処理モデル(110)を有するモデル規範型予測式温度コントローラ(100)と、を備え、
    処理によって出力される1つ以上の温度を測定するステップと、
    前記非線形熱処理モデルを使用して、処理によって出力される将来の複数の温度を予測するステップと、
    測定された前記処理によって出力される1つ以上の温度及び予測された前記処理によって出力される将来の複数の温度を使用して、予測された前記処理によって出力される将来の温度の1つ以上を所望の将来の温度と比較し、且つ、処理のための最適な入力制御法を計算するために前記比較をアルゴリズムに使用することにより、最適処理のための入力御法を計算するステップと、
    計算された前記最適処理のための入力御法を使用して処理のために入力される熱エネルギを制御するステップと
    を備えた熱処理制御方法。
  20. 前記処理によって出力される将来の温度を予測するステップが、
    処理のために入力される熱エネルギを処理によって出力される温度に関係付ける非線形熱処理モデル(110)を特定するステップと
    前記非線形熱処理モデル(110)を使用して予め決められた将来の時間に亘る、処理によって出力される将来の温度を反復的に(recursively)予測するステップとを備える、請求項19に記載の方法。
  21. 前記処理によって出力される将来の温度を予測するステップが後退層位(receding horizon)計算に従って前記予測を定期的に更新するステップさらに含む請求項20に記載の方法。
  22. 前記処理によって出力される将来の温度を予測するステップが、静的な将来の制御法を仮定するステップを含む請求項19に記載の方法。
  23. 前記最適な処理のための入力制御法を計算するステップが、測された前記処理によって出力される将来の温度を処によって出力される所望の将来の温度と比較するステップを含む請求項19に記載の方法。
  24. 前記処理によって出力される将来の温度を予測するステップが、
    のために入力される熱エネルギを処理によって出力される温度に関係付ける非線形熱処理モデル(110)を特定するステップと
    前記非線形熱処理モデル(110)を使用して予め決められた将来の時間に亘る、処理によって出力される将来の温度を予測するためにニューラルネットワークを訓練するステップを含む請求項19に記載の方法。
  25. 前記処理によって出力される将来の温度を予測するステップが、後退層位(receding horizon)計算に従って前記予測を定期的に更新するステップさらに含む請求項24に記載の方法。
  26. 前記処理によって出力される将来の温度を予測するステップが、静的な将来の制御法を仮定するステップを含む請求項24に記載の方法。
  27. 前記最適処理のための入力御法を計算するステップが、測された前記処理によって出力される将来の温度を処理によって出力される所望の将来の温度と比較するステップを含む請求項24に記載の方法。
JP52776597A 1996-01-31 1997-01-30 熱処理のモデル規範型予測制御 Expired - Lifetime JP3956057B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US59743896A 1996-01-31 1996-01-31
US08/597,438 1996-01-31
PCT/US1997/001318 WO1997028669A1 (en) 1996-01-31 1997-01-30 Model-based predictive control of thermal processing

Publications (2)

Publication Number Publication Date
JP2000509171A JP2000509171A (ja) 2000-07-18
JP3956057B2 true JP3956057B2 (ja) 2007-08-08

Family

ID=24391498

Family Applications (1)

Application Number Title Priority Date Filing Date
JP52776597A Expired - Lifetime JP3956057B2 (ja) 1996-01-31 1997-01-30 熱処理のモデル規範型予測制御

Country Status (7)

Country Link
US (2) US6207936B1 (ja)
EP (1) EP0879547B1 (ja)
JP (1) JP3956057B2 (ja)
KR (1) KR100486158B1 (ja)
AU (1) AU1843597A (ja)
DE (1) DE69728256T2 (ja)
WO (1) WO1997028669A1 (ja)

Families Citing this family (412)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US20020046143A1 (en) * 1995-10-03 2002-04-18 Eder Jeffrey Scott Method of and system for evaluating cash flow and elements of a business enterprise
US6207936B1 (en) 1996-01-31 2001-03-27 Asm America, Inc. Model-based predictive control of thermal processing
US6438430B1 (en) * 1996-05-06 2002-08-20 Pavilion Technologies, Inc. Kiln thermal and combustion control
US7610108B2 (en) * 1996-05-06 2009-10-27 Rockwell Automation Technologies, Inc. Method and apparatus for attenuating error in dynamic and steady-state processes for prediction, control, and optimization
US6381504B1 (en) * 1996-05-06 2002-04-30 Pavilion Technologies, Inc. Method for optimizing a plant with multiple inputs
US8311673B2 (en) * 1996-05-06 2012-11-13 Rockwell Automation Technologies, Inc. Method and apparatus for minimizing error in dynamic and steady-state processes for prediction, control, and optimization
US20010034686A1 (en) * 1997-12-10 2001-10-25 Eder Jeff Scott Method of and system for defining and measuring the real options of a commercial enterprise
US10839321B2 (en) * 1997-01-06 2020-11-17 Jeffrey Eder Automated data storage system
US20080004922A1 (en) * 1997-01-06 2008-01-03 Jeff Scott Eder Detailed method of and system for modeling and analyzing business improvement programs
EP0907117A1 (en) * 1997-09-05 1999-04-07 Communauté Européenne (CE) Nonlinear neural predictive control system
US6453308B1 (en) 1997-10-01 2002-09-17 Aspen Technology, Inc. Non-linear dynamic predictive device
US6401003B1 (en) * 1997-12-30 2002-06-04 Samsung Electronics Co., Ltd. Alarm system for semiconductor device fabrication facility
JP4551515B2 (ja) * 1998-10-07 2010-09-29 株式会社日立国際電気 半導体製造装置およびその温度制御方法
DE19855637A1 (de) * 1998-12-02 2000-06-15 Aixtron Ag Verfahren und System zur Halbleiterkristallherstellung mit Temperaturverwaltung
US20040215495A1 (en) * 1999-04-16 2004-10-28 Eder Jeff Scott Method of and system for defining and measuring the elements of value and real options of a commercial enterprise
US6694289B1 (en) * 1999-07-01 2004-02-17 International Business Machines Corporation Fast simulation method for single and coupled lossy lines with frequency-dependent parameters based on triangle impulse responses
US6453219B1 (en) * 1999-09-23 2002-09-17 Kic Thermal Profiling Method and apparatus for controlling temperature response of a part in a conveyorized thermal processor
US6560514B1 (en) 1999-09-23 2003-05-06 Kic Thermal Profiling Method and apparatus for optimizing control of a part temperature in conveyorized thermal processor
JP3450240B2 (ja) * 1999-11-25 2003-09-22 Necエレクトロニクス株式会社 ランプアニール装置とランプアニール装置の処理温度制御方法
US6522990B1 (en) 1999-12-03 2003-02-18 General Electric Company Methods and apparatus for reducing temperature overshoot
JP2001210596A (ja) * 2000-01-28 2001-08-03 Hitachi Kokusai Electric Inc 半導体製造装置の温度制御方法、半導体製造装置、および半導体デバイスの製造方法
US6191399B1 (en) 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber
TW505770B (en) * 2000-05-02 2002-10-11 Nishiyama Corp Temperature controller
JP4918207B2 (ja) * 2000-06-29 2012-04-18 アスペン テクノロジー インコーポレイテッド 経験的プロセスの非線形近似器を制約するコンピュータ装置とその方法
DE10032465A1 (de) * 2000-07-04 2002-01-31 Steag Rtp Systems Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von Objekten
US6465761B2 (en) * 2000-07-24 2002-10-15 Asm America, Inc. Heat lamps for zone heating
US20090018891A1 (en) * 2003-12-30 2009-01-15 Jeff Scott Eder Market value matrix
US20040236673A1 (en) 2000-10-17 2004-11-25 Eder Jeff Scott Collaborative risk transfer system
US6819963B2 (en) * 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US7092863B2 (en) * 2000-12-26 2006-08-15 Insyst Ltd. Model predictive control (MPC) system using DOE based model
US6911628B1 (en) * 2001-01-25 2005-06-28 Yamatake Corporation Control system and control unit
KR100423183B1 (ko) * 2001-03-21 2004-03-18 코닉 시스템 주식회사 적응제어방법을 이용한 고속 열처리 공정기의 온도 제어장치 및 제어방법
US6606537B1 (en) * 2001-04-19 2003-08-12 Kic Thermal Profiling Method for correcting process temperature profile in a multi-zone thermal processor
NL1018086C2 (nl) 2001-05-16 2002-11-26 Asm Int Werkwijze en inrichting voor het thermisch behandelen van substraten.
JP4506030B2 (ja) * 2001-05-18 2010-07-21 東京エレクトロン株式会社 熱処理装置及び成膜方法
JP2004527860A (ja) * 2001-05-25 2004-09-09 パラメトリック・オプティミゼーション・ソリューションズ・リミテッド 改善されたプロセス制御
DE10129141A1 (de) * 2001-06-16 2002-12-19 Abb Research Ltd Steuer- und Regelverfahren un Regeleinrichtung zum An- oder Abfahren einer verfahrenstechnischen Komponente eines technischen Prozesses
US7158840B2 (en) * 2001-06-29 2007-01-02 Cymer, Inc. Tuning control parameters of vibration reduction and motion control systems for fabrication equipment and robotic systems
CN1288519C (zh) * 2001-07-13 2006-12-06 Fsi国际股份有限公司 机器人系统控制
US6616759B2 (en) * 2001-09-06 2003-09-09 Hitachi, Ltd. Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
US6810291B2 (en) * 2001-09-14 2004-10-26 Ibex Process Technology, Inc. Scalable, hierarchical control for complex processes
FI115081B (fi) * 2001-10-19 2005-02-28 Metso Automation Oy Menetelmä ja laitteisto paperikoneen massaosaston toiminnan ohjaamiseksi
DE60120192T2 (de) * 2001-11-29 2006-11-16 Abb Research Ltd. Optimaler Betrieb eines Kraftwerks
US7493470B1 (en) 2001-12-07 2009-02-17 Arc International, Plc Processor apparatus and methods optimized for control applications
US20040215522A1 (en) * 2001-12-26 2004-10-28 Eder Jeff Scott Process optimization system
US6785633B2 (en) * 2001-12-28 2004-08-31 General Electric Company Method and apparatus for assessing performance of combined cycle power-plants
US20030125906A1 (en) * 2001-12-28 2003-07-03 Guaglardi Paul A. Method and apparatus for assessing the impact of individual parts of a gas turbine component on the overall thermal performance of a gas turbine
US7730063B2 (en) * 2002-12-10 2010-06-01 Asset Trust, Inc. Personalized medicine service
US20080256069A1 (en) * 2002-09-09 2008-10-16 Jeffrey Scott Eder Complete Context(tm) Query System
US20110040631A1 (en) * 2005-07-09 2011-02-17 Jeffrey Scott Eder Personalized commerce system
US20080027769A1 (en) 2002-09-09 2008-01-31 Jeff Scott Eder Knowledge based performance management system
US6596973B1 (en) 2002-03-07 2003-07-22 Asm America, Inc. Pyrometer calibrated wafer temperature estimator
US7505877B2 (en) * 2002-03-08 2009-03-17 Johnson Controls Technology Company System and method for characterizing a system
EP1343061A1 (de) * 2002-03-08 2003-09-10 Siemens Aktiengesellschaft Verfahren zur Simulation eines technischen Systems und Simulator
US6864897B2 (en) * 2002-04-12 2005-03-08 Mitsubishi Electric Research Labs, Inc. Analysis, synthesis and control of data signals with temporal textures using a linear dynamic system
US7427329B2 (en) 2002-05-08 2008-09-23 Asm International N.V. Temperature control for single substrate semiconductor processing reactor
US6843201B2 (en) * 2002-05-08 2005-01-18 Asm International Nv Temperature control for single substrate semiconductor processing reactor
US7668702B2 (en) * 2002-07-19 2010-02-23 Applied Materials, Inc. Method, system and medium for controlling manufacturing process using adaptive models based on empirical data
US20040019393A1 (en) * 2002-07-25 2004-01-29 Eileen Heider System and method for model base control
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
US7050863B2 (en) * 2002-09-11 2006-05-23 Fisher-Rosemount Systems, Inc. Integrated model predictive control and optimization within a process control system
US6642486B1 (en) * 2002-11-07 2003-11-04 Illinois Tool Works, Inc. Method and apparatus for replicating heat profile of infrared oven
US7006900B2 (en) * 2002-11-14 2006-02-28 Asm International N.V. Hybrid cascade model-based predictive control system
CN1720490B (zh) * 2002-11-15 2010-12-08 应用材料有限公司 用于控制具有多变量输入参数的制造工艺的方法和系统
US8463441B2 (en) 2002-12-09 2013-06-11 Hudson Technologies, Inc. Method and apparatus for optimizing refrigeration systems
AU2003292147A1 (en) * 2002-12-23 2004-07-22 Mattson Thermal Products Gmbh Method for determining the temperature of a semiconductor wafer in a rapid thermal processing system
DE10329107B4 (de) * 2002-12-23 2015-05-28 Mattson Thermal Products Gmbh Verfahren zum Bestimmung wenigstens einer Zustandsvariablen aus einem Modell eines RTP-Systems
US7619184B2 (en) * 2003-03-04 2009-11-17 Micron Technology, Inc. Multi-parameter process and control method
US20040181300A1 (en) * 2003-03-11 2004-09-16 Clark Robert L. Methods, apparatus and computer program products for adaptively controlling a system by combining recursive system identification with generalized predictive control
US20040181498A1 (en) * 2003-03-11 2004-09-16 Kothare Simone L. Constrained system identification for incorporation of a priori knowledge
DE602004027892D1 (de) * 2003-03-21 2010-08-12 Aspen Technology Inc Verfarhen und artikel zum detektieren, verifizieren und reparieren der colinearität
DE10324045B3 (de) * 2003-05-27 2004-10-14 Siemens Ag Verfahren sowie Computerprogramm mit Programmcode-Mitteln und Computerprogramm-Produkt zur Ermittlung eines zukünftigen Systemverhaltens eines dynamischen Systems
US7181296B2 (en) * 2003-08-06 2007-02-20 Asml Netherlands B.V. Method of adaptive interactive learning control and a lithographic manufacturing process and apparatus employing such a method
JP4223894B2 (ja) * 2003-08-21 2009-02-12 株式会社山武 Pidパラメータ調整装置
US7447664B2 (en) * 2003-08-28 2008-11-04 Boeing Co Neural network predictive control cost function designer
US20050044892A1 (en) * 2003-08-28 2005-03-03 George Stephan P. Method and apparatus for heating glass sheets
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US7187989B2 (en) * 2003-12-22 2007-03-06 Fakhruddin T Attarwala Use of core process models in model predictive controller
US7101816B2 (en) * 2003-12-29 2006-09-05 Tokyo Electron Limited Methods for adaptive real time control of a thermal processing system
US6964238B2 (en) * 2003-12-31 2005-11-15 Kimberly-Clark Worldwide, Inc. Process for making a garment having hanging legs
DE602004018742D1 (de) * 2004-01-09 2009-02-12 Abb Research Ltd Vorrichtung zur Prozesssteuerung
US7025280B2 (en) * 2004-01-30 2006-04-11 Tokyo Electron Limited Adaptive real time control of a reticle/mask system
US6943327B2 (en) * 2004-02-04 2005-09-13 Lear Corporation Method for the progressive control of heating elements
WO2005077038A2 (en) * 2004-02-06 2005-08-25 Wisconsin Alumni Research Foundation Siso model predictive controller
US7203554B2 (en) * 2004-03-16 2007-04-10 United Technologies Corporation Model predictive controller with life extending control
US7203555B2 (en) * 2004-05-14 2007-04-10 University Of Delaware Predictive regulatory controller
US7415312B2 (en) * 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
US20090043637A1 (en) * 2004-06-01 2009-02-12 Eder Jeffrey Scott Extended value and risk management system
US20070036467A1 (en) * 2004-07-26 2007-02-15 Coleman Christopher R System and method for creating a high resolution material image
US20060017740A1 (en) * 2004-07-26 2006-01-26 Coleman Christopher R Diurnal variation of geo-specific terrain temperatures in real-time infrared sensor simulation
US20060020563A1 (en) * 2004-07-26 2006-01-26 Coleman Christopher R Supervised neural network for encoding continuous curves
US20060018566A1 (en) * 2004-07-26 2006-01-26 Coleman Christopher R System and method for adding spatial frequency into an image
JP4925571B2 (ja) * 2004-08-09 2012-04-25 アプライド マテリアルズ インコーポレイテッド 基板の熱的性質判定方法及び熱処理条件の決定方法
JP4428175B2 (ja) * 2004-09-14 2010-03-10 株式会社Sumco 気相エピタキシャル成長装置および半導体ウェーハの製造方法
US7502715B1 (en) * 2004-09-21 2009-03-10 Asml Netherlands B.V Observability in metrology measurements
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
US7751908B2 (en) * 2004-12-02 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thermal process control
US20060167591A1 (en) * 2005-01-26 2006-07-27 Mcnally James T Energy and cost savings calculation system
US8713025B2 (en) 2005-03-31 2014-04-29 Square Halt Solutions, Limited Liability Company Complete context search system
US7777197B2 (en) * 2005-06-02 2010-08-17 Applied Materials, Inc. Vacuum reaction chamber with x-lamp heater
US20060289795A1 (en) * 2005-06-02 2006-12-28 Dubois Dale R Vacuum reaction chamber with x-lamp heater
JP4839702B2 (ja) * 2005-07-04 2011-12-21 オムロン株式会社 温度制御方法、調整装置、温度調節器、プログラム、記録媒体および熱処理装置
US7672739B2 (en) * 2005-08-11 2010-03-02 University Of South Florida System for multiresolution analysis assisted reinforcement learning approach to run-by-run control
US7451004B2 (en) * 2005-09-30 2008-11-11 Fisher-Rosemount Systems, Inc. On-line adaptive model predictive control in a process control system
GB2430764B (en) * 2005-09-30 2011-03-09 Fisher Rosemount Systems Inc On-line adaptive model predictive control in a process control system
US7957847B2 (en) * 2005-09-30 2011-06-07 Hitachi Global Storage Technologies Netherlands, B.V. Voltage regulating systems responsive to feed-forward information from deterministic loads
US8498915B2 (en) * 2006-04-02 2013-07-30 Asset Reliance, Inc. Data processing framework for financial services
US8527252B2 (en) * 2006-07-28 2013-09-03 Emerson Process Management Power & Water Solutions, Inc. Real-time synchronized control and simulation within a process plant
DE102006036585B4 (de) * 2006-08-04 2008-04-17 Mattson Thermal Products Gmbh Verfahren und Vorrichtung zum Ermitteln von Messwerten
US7949417B2 (en) * 2006-09-22 2011-05-24 Exxonmobil Research And Engineering Company Model predictive controller solution analysis process
US7826909B2 (en) * 2006-12-11 2010-11-02 Fakhruddin T Attarwala Dynamic model predictive control
US7451054B2 (en) * 2007-01-30 2008-11-11 Tokyo Electron Limited Method of using a wafer-temperature-dependent profile library
JP5169046B2 (ja) * 2007-07-20 2013-03-27 ウシオ電機株式会社 光照射式加熱処理装置
US8064151B2 (en) * 2007-08-14 2011-11-22 Asml Netherlands B.V. Lithographic apparatus and thermal optical manipulator control method
US20090076773A1 (en) * 2007-09-14 2009-03-19 Texas Tech University Method for identifying unmeasured disturbances in process control test data
US8047706B2 (en) * 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
ATE454685T1 (de) * 2008-02-15 2010-01-15 Siemens Ag Robustes auswerten eines temperaturmesssignals mittels einer dynamischen anpassung eines rechenmodells
EP2269122A4 (en) * 2008-03-20 2012-07-18 Univ New Brunswick NON-LINEAR MULTIDIMENSIONAL CONTROL METHOD
US8209045B2 (en) * 2008-04-07 2012-06-26 Honeywell International Inc. System and method for discrete supply chain control and optimization using model predictive control
JP5562529B2 (ja) * 2008-04-17 2014-07-30 大日本スクリーン製造株式会社 熱処理装置
US20090287320A1 (en) * 2008-05-13 2009-11-19 Macgregor John System and Method for the Model Predictive Control of Batch Processes using Latent Variable Dynamic Models
US8315746B2 (en) * 2008-05-30 2012-11-20 Apple Inc. Thermal management techniques in an electronic device
JP5251267B2 (ja) * 2008-06-04 2013-07-31 富士電機株式会社 制御装置
US8306772B2 (en) 2008-10-13 2012-11-06 Apple Inc. Method for estimating temperature at a critical point
WO2010062287A1 (en) * 2008-11-25 2010-06-03 Utc Fire & Security Corporation Oxygen trim controller tuning during combustion system commissioning
US8260440B2 (en) * 2008-12-05 2012-09-04 The Regents Of The University Of Michigan Adaptive control based on retrospective cost optimization
US9758871B2 (en) * 2008-12-10 2017-09-12 Sumco Techxiv Corporation Method and apparatus for manufacturing epitaxial silicon wafer
DE102009016138A1 (de) * 2009-04-03 2010-10-14 Ipsen International Gmbh Verfahren und Computer-Programm zur Steuerung der Wärmebehandlung von metallischen Werkstücken
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20120284216A1 (en) * 2009-08-12 2012-11-08 International Business Machines Corporation Knowledge-Based Models for Data Centers
US8244502B2 (en) * 2009-08-12 2012-08-14 International Business Machines Corporation Knowledge-based models for data centers
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011077702A1 (ja) * 2009-12-25 2011-06-30 キヤノンアネルバ株式会社 基板加熱処理装置の温度制御方法、半導体デバイスの製造方法、基板加熱処理装置の温度制御プログラム及び記録媒体
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130130184A1 (en) * 2011-11-21 2013-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and Method for Controlling Wafer Temperature
US8809747B2 (en) * 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US9158313B2 (en) * 2012-07-25 2015-10-13 Broadcom Corporation System and method for supervised thermal management
CA2883317C (en) * 2012-09-24 2017-10-24 Nestec S.A. Methods and systems for coordination of aseptic sterilization and aseptic package filling rate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9189575B2 (en) 2013-03-11 2015-11-17 Toyota Motor Engineering & Manufacturing North America, Inc. SVR dynamic system modeling with delayed output measurements
US20140365413A1 (en) * 2013-06-06 2014-12-11 Qualcomm Incorporated Efficient implementation of neural population diversity in neural system
US20150148981A1 (en) * 2013-11-24 2015-05-28 Qualcomm Incorporated System and method for multi-correlative learning thermal management of a system on a chip in a portable computing device
US9476584B2 (en) 2013-12-12 2016-10-25 General Electric Company Controlling boiler drum level
JP2017034295A (ja) * 2013-12-13 2017-02-09 シャープ株式会社 基地局装置、端末装置、送信方法、および受信方法
DE102014201567B4 (de) 2014-01-29 2023-12-21 Bayerische Motoren Werke Aktiengesellschaft Modellbasierte prädiktive Starterregelung eines Verbrennungsmotors
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150300888A1 (en) * 2014-04-21 2015-10-22 National Taiwan University Temperature prediction system and method thereof
US9715221B2 (en) 2014-05-01 2017-07-25 Aspen Technology, Inc. Online control calculation for models containing near colinearity and uncertainty
TWI621001B (zh) * 2014-06-11 2018-04-11 蘇鴻德 藉由單變數控制單元達成多變數控制之控制器
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9541906B2 (en) * 2014-09-11 2017-01-10 Hong-Te SU Controller capable of achieving multi-variable controls through single-variable control unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
DE112015004092T5 (de) * 2014-12-11 2017-07-06 Fuji Electric Co., Ltd. Steuerungsvorrichtung, Programm dafür und Anlagensteuerungsverfahren
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10671131B2 (en) 2015-06-05 2020-06-02 Apple Inc. Predictive control systems and methods
WO2016196746A1 (en) * 2015-06-05 2016-12-08 Shell Oil Company System and method for background element switching for models in model predictive estimation and control applications
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10496054B2 (en) 2015-06-26 2019-12-03 Bayer Cropscience Ag Method for controlling technical processes with linearization
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN114458431B (zh) 2016-03-02 2024-01-12 沃特洛电气制造公司 虚拟传感系统
JP6647931B2 (ja) * 2016-03-16 2020-02-14 株式会社Kelk 半導体ウェーハの温度制御装置、および半導体ウェーハの温度制御方法
CN105751470B (zh) * 2016-03-23 2017-12-12 广西科技大学 一种注塑机温度实时控制方法
WO2017177212A1 (en) * 2016-04-08 2017-10-12 Absorbergauge Llc Temperature-based estimation of scrubbing capacity of a gas scrubber
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10157761B2 (en) * 2016-08-17 2018-12-18 Kelk Ltd. Temperature controller of semiconductor wafer
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180363139A1 (en) * 2017-06-20 2018-12-20 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
JP7148944B2 (ja) * 2017-06-23 2022-10-06 国立大学法人広島大学 制御装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
CN108694288B (zh) * 2018-05-29 2021-04-30 中南大学 快速获取步进梁式钢坯加热炉不同产率下设置温度的方法
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
CN108776432B (zh) * 2018-06-08 2021-10-01 哈尔滨工程大学 基于网络的机场跑道检测机器人预测控制方法
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN109581870B (zh) * 2018-11-27 2022-01-25 中国工程物理研究院化工材料研究所 含能材料反应釜的釜内温度动态矩阵控制方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN109855763A (zh) * 2018-12-29 2019-06-07 宏景科技股份有限公司 一种基于bp神经网络与plc的温度传感器校正方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
TWI689221B (zh) 2019-03-14 2020-03-21 國家中山科學研究院 基地站主動式智慧型散熱系統
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP2022523870A (ja) * 2019-03-29 2022-04-26 ラム リサーチ コーポレーション 基板処理システムのためのモデルベースのスケジュール設定
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11092354B2 (en) * 2019-06-20 2021-08-17 Johnson Controls Tyco IP Holdings LLP Systems and methods for flow control in an HVAC system
US11149976B2 (en) 2019-06-20 2021-10-19 Johnson Controls Tyco IP Holdings LLP Systems and methods for flow control in an HVAC system
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11604459B2 (en) 2019-07-12 2023-03-14 Emerson Process Management Power & Water Solutions, Inc. Real-time control using directed predictive simulation within a control system of a process plant
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN110442991B (zh) * 2019-08-12 2021-05-04 江南大学 一种基于参数化fir模型的动态硫回收软测量建模方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
DE102019130383A1 (de) * 2019-11-11 2021-05-12 Rational Aktiengesellschaft Verfahren zum Regeln eines Zubereitungsgerätes, Regeleinheit sowie Zubereitungsgerät
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11441800B2 (en) 2020-01-07 2022-09-13 FPL Smart Services, LLC Autonomous machine learning diagonostic system with simplified sensors for home appliances
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
CN112181008B (zh) * 2020-09-02 2022-06-21 珠海泰坦新动力电子有限公司 高温化成柜热源功率智能控制方法、装置及介质
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
SE544494C2 (en) * 2020-10-21 2022-06-21 Senseair Ab Temperature controller for a temperature control mechanism
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN114510863A (zh) * 2020-11-16 2022-05-17 鸿富锦精密电子(成都)有限公司 回焊炉炉温调节方法、装置、电子设备及存储介质
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113203499B (zh) * 2021-04-02 2022-03-18 华中科技大学 一种瞬态温度测量校准方法及系统
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023141135A (ja) * 2022-03-23 2023-10-05 株式会社Screenホールディングス 熱処理装置
CN115268270A (zh) * 2022-07-29 2022-11-01 华能伊敏煤电有限责任公司 一种多源热网加热器优化调度方法、系统、设备及介质

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3836751A (en) 1973-07-26 1974-09-17 Applied Materials Inc Temperature controlled profiling heater
GB1583545A (en) 1976-08-04 1981-01-28 Martin Sanchez J Control systems
US4255133A (en) 1978-04-10 1981-03-10 Hitachi, Ltd. Method for controlling furnace temperature of multi-zone heating furnace
US4349869A (en) 1979-10-01 1982-09-14 Shell Oil Company Dynamic matrix control method
IL62597A (en) 1980-04-07 1984-03-30 Martin Sanchez Juan Adaptive-predictive control system
US4714988A (en) 1982-03-26 1987-12-22 Kabushiki Kaisha Toshiba Feedforward feedback control having predictive disturbance compensation
AU554017B2 (en) 1983-06-03 1986-08-07 Omron Tateisi Electronics Co. Time-discrete adaptive on-off switching control
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
JPS61145606A (ja) 1984-12-19 1986-07-03 Ohkura Electric Co Ltd 干渉対応形パタ−ン切換式温度制御装置
US4720807A (en) 1985-05-20 1988-01-19 Vacuum General, Inc. Adaptive pressure control system
US4694390A (en) 1985-06-28 1987-09-15 Electric Power Research Institute, Inc. Microprocessor-based control and diagnostic system for motor operated valves
US4680451A (en) 1985-07-29 1987-07-14 A. G. Associates Apparatus using high intensity CW lamps for improved heat treating of semiconductor wafers
US4634946A (en) 1985-10-02 1987-01-06 Westinghouse Electric Corp. Apparatus and method for predictive control of a dynamic system
KR910002596B1 (ko) * 1985-11-21 1991-04-27 다이닛뽕 스크린 세이조오 가부시기가이샤 온도제어방법 및 그 장치
JPS62192281U (ja) 1986-05-27 1987-12-07
US4736316A (en) 1986-08-06 1988-04-05 Chevron Research Company Minimum time, optimizing and stabilizing multivariable control method and system using a constraint associated control code
US4769766A (en) 1986-09-11 1988-09-06 Tung Hsien Hsin Robust model reference controller
US4975561A (en) 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
JPH0196701A (ja) 1987-10-09 1989-04-14 Nobuo Yamamoto 内部モデル協調型フイードフオワード手法を用いた制御系
US4959767A (en) 1988-11-23 1990-09-25 Elsag International B.V. Parameter estimation technique for closed loop system
US5032977A (en) 1989-06-13 1991-07-16 Elsag International B.V. System for modeling and control for delignification of pulping
JPH0786783B2 (ja) 1989-11-04 1995-09-20 勝久 古田 調整入力による炉温制御装置
EP0462815B1 (en) * 1990-06-21 1996-09-25 Honeywell Inc. Receding horizon based adaptive control having means for minimizing operating costs
US5291514A (en) 1991-07-15 1994-03-01 International Business Machines Corporation Heater autotone control apparatus and method
CA2054423C (en) 1991-08-09 1999-03-16 Robert J. Schurko Adaptive control for reheat furnace
US5488561A (en) 1992-08-19 1996-01-30 Continental Controls, Inc. Multivariable process control method and apparatus
US5650082A (en) 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5755511A (en) 1994-12-19 1998-05-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US6207936B1 (en) 1996-01-31 2001-03-27 Asm America, Inc. Model-based predictive control of thermal processing
US5793022A (en) 1996-09-12 1998-08-11 Applied Materials, Inc. Adaptive temperture controller and method of operation

Also Published As

Publication number Publication date
US6207936B1 (en) 2001-03-27
KR19990082210A (ko) 1999-11-25
JP2000509171A (ja) 2000-07-18
WO1997028669A1 (en) 1997-08-07
KR100486158B1 (ko) 2005-11-08
AU1843597A (en) 1997-08-22
US6373033B1 (en) 2002-04-16
EP0879547A4 (ja) 1998-12-16
DE69728256T2 (de) 2005-03-03
EP0879547B1 (en) 2004-03-24
DE69728256D1 (de) 2004-04-29
EP0879547A2 (en) 1998-11-25

Similar Documents

Publication Publication Date Title
JP3956057B2 (ja) 熱処理のモデル規範型予測制御
WO1997028669A9 (en) Model-based predictive control of thermal processing
US5353207A (en) Residual activation neural network
US7006900B2 (en) Hybrid cascade model-based predictive control system
US7330804B2 (en) Computer method and apparatus for constraining a non-linear approximator of an empirical process
Forbes et al. Design cost: A systematic approach to technology selection for model-based real-time optimization systems
US5895596A (en) Model based temperature controller for semiconductor thermal processors
US20060027566A1 (en) Multi-parameter process and control method
US6985781B2 (en) Residual activation neural network
US6363289B1 (en) Residual activation neural network
JP6804027B2 (ja) 基板処理装置、温度制御方法、半導体装置の製造方法及び温度制御プログラム
Choi et al. A learning approach of wafer temperature control in a rapid thermal processing system
JP2008123354A (ja) 温度制御装置、温度制御方法および温度制御プログラム
JP2002157003A (ja) 多変数モデル予測制御装置、方法、及びその記憶媒体
US20220090254A1 (en) Methods, devices, and code for controlling a coating process
Sachs et al. An equipment model for polysilicon LPCVD
Pradeep et al. A finite horizon Markov decision process based reinforcement learning control of a rapid thermal processing system
Tan et al. Repetitive control approach towards automatic tuning of Smith predictor controllers
Huang et al. Identification for monitoring and autotuning of PID controllers
KR100221231B1 (ko) 모델기반 예측제어 시스템 및 방법
CA2423281A1 (en) Iterative learning update for batch mode processing
US20030028263A1 (en) Method and apparatus for adaptive control of marginally stable systems
Fakhr-Eddine et al. Neural network structures for optimal control of LPCVD reactors
KR20020050015A (ko) 급속 열처리 장치에서 최적 학습 제어 기법을 이용한웨이퍼의 온도 균일성 제어 방법
Cho Temperature control and modeling of rapid thermal processing

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060606

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060904

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20061023

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070403

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070424

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110518

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110518

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120518

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130518

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130518

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term