JP2012164736A - 基板処理装置及び半導体装置の製造方法 - Google Patents

基板処理装置及び半導体装置の製造方法 Download PDF

Info

Publication number
JP2012164736A
JP2012164736A JP2011022514A JP2011022514A JP2012164736A JP 2012164736 A JP2012164736 A JP 2012164736A JP 2011022514 A JP2011022514 A JP 2011022514A JP 2011022514 A JP2011022514 A JP 2011022514A JP 2012164736 A JP2012164736 A JP 2012164736A
Authority
JP
Japan
Prior art keywords
processing chamber
gas
processing
gas supply
raw material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2011022514A
Other languages
English (en)
Inventor
Sadayoshi Horii
貞義 堀井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2011022514A priority Critical patent/JP2012164736A/ja
Publication of JP2012164736A publication Critical patent/JP2012164736A/ja
Withdrawn legal-status Critical Current

Links

Images

Abstract

【課題】生産性よく、半導体装置の不良の少ない高品質な膜を形成でき、歩留りの低下を防止できる基板処理装置及び半導体製造装置の製造方法を提供する。
【解決手段】基板を処理する複数の処理室と、前記各処理室内へ原料を供給する原料供給系と、前記各処理室内へ反応剤を供給する反応剤供給系と、前記原料供給系に設けられ前記複数の処理室で共用とされる原料供給部と、前記反応剤供給系に設けられ前記複数の処理室で共用とされる反応剤供給部と、基板を収容した前記各処理室内に前記原料と前記反応剤とを交互に供給して前記基板を処理すると共に、前記原料供給部と前記反応剤供給部とを前記各処理室で時間分割して用いるように、前記原料供給系、前記反応剤供給系、前記原料供給部および前記反応剤供給部を制御する制御部と、を有する。
【選択図】図6

Description

本発明は、基板処理装置及び半導体装置の製造方法に関する。
薄膜を形成する方法として、1原子層以下の原料を基板表面に吸着させる原料ガス供給ステップと、その吸着層を反応させるために反応剤を供給する反応ガス供給ステップと、それぞれのステップ間でガスが混ざらないように、それぞれのステップの間に不活性ガスを流すステップの4ステップからなる1サイクルを繰り返すことにより、1サイクルあたりに1原子層以下の膜を形成し、これを目標膜厚まで繰り返す成膜法、いわゆる、Atomic Layer Deposition(ALD)法が用いられている。1サイクルで形成できる膜厚が1原子層以下なので、その膜厚は1Å程度であり、1サイクルの時間が10秒程度の場合、100Åを目標膜厚とすると、1枚の基板に対する成膜に1000秒、すなわち、16分40秒もかかってしまうことになる。そのため、1時間に3.6枚しか生産できないこととなる。
この問題を克服するために、例えば、大きな反応室を4分割して、原料ガスの流れる領域、反応ガスの流れる領域、原料ガスと反応ガスを分けかつ不活性ガスが流れる領域を二つ形成し、台座には基板を複数枚円状に配置し、これを回転させて、基板を異なるガス領域に順々に通過させることによって、上記の1サイクルを台座の一回転で行う方法が試みられている(例えば、特許文献1参照)。
特開2001−254181号公報
しかし、この方法では、異なるガスが大きな反応室内で相互に拡散して粉体を形成し、これが基板上に降り積もることで、半導体装置の不良となり、歩留まりの低下につながってしまっていた。
本発明は、上記問題を解決し、生産性よく、半導体装置の不良の少ない高品質な膜を形成でき、歩留りの低下を防止できる基板処理装置及び半導体装置の製造方法を提供することを目的とする。
本発明の一態様によれば、基板を処理する複数の処理室と、前記各処理室内へ原料を供給する原料供給系と、前記各処理室内へ反応剤を供給する反応剤供給系と、前記原料供給系に設けられ前記複数の処理室で共用とされる原料供給部と、前記反応剤供給系に設けられ前記複数の処理室で共用とされる反応剤供給部と、基板を収容した前記各処理室内に前記原料と前記反応剤とを交互に供給して前記基板を処理すると共に、前記原料供給部と前記反応剤供給部とを前記各処理室で時間分割して用いるように、前記原料供給系、前記反応剤供給系、前記原料供給部および前記反応剤供給部を制御する制御部と、を有する基板処理装置が提供される。
本発明の他の態様によれば、基板を複数の処理室内にそれぞれ収容する工程と、前記基板を収容した前記各処理室内に原料と反応剤とを交互に供給して前記基板を処理する工程を有し、前記基板を処理する工程では、前記複数の処理室で共用とされる原料供給部と前記複数の処理室で共用とされる反応剤供給部とを前記各処理室で時間分割して用いる半導体装置の製造方法が提供される。
本発明に係る基板処理装置及び半導体装置の製造方法によれば、生産性よく、半導体装置の不良の少ない高品質な膜を形成でき、歩留りの低下を防止できる。
本発明の一実施形態に係るクラスタ装置の概略構成図である。 本発明の一実施形態に係るクラスタ装置の処理ユニットのウェハ処理時における断面構成図である。 本発明の一実施形態に係るクラスタ装置の処理ユニットのウェハ搬送時における断面構成図である。 本発明の一実施形態に係るクラスタ装置の処理ユニットにおけるガス供給系及び排気系の概略構成図である。 本発明の一実施形態に係る各処理ユニットで行われる成膜工程のフロー図である。 本発明の一実施形態に係る成膜工程における各処理ユニットへの各ガスの供給タイミングを示すタイムチャート図である。
<本発明の一実施形態>
以下、本発明の一実施形態を図面に即して説明する。
(1)基板処理装置の構成
まず、本発明の一実施形態に係る基板処理装置について説明する。
本実施形態に係る基板処理システムとしての基板処理装置は、図1に示されているようにクラスタ装置として構成されている。
<クラスタ装置>
図1に示されているように、クラスタ装置10は、大気圧未満の圧力(負圧)に耐え得る構造に構成されたトランスファモジュール(搬送室)としての第1ウェハ移載室(以下、負圧移載室という)11を備えている。負圧移載室11の筐体(以下、負圧移載室筐体という)12は、平面視が七角形で上下両端が閉塞した箱形状に形成されている。負圧移載室11には、負圧下においてウェハ2を移載する搬送ロボットとしてのウェハ移載機(以下、負圧移載機という)13が設置されている。
負圧移載室筐体12の7枚の側壁のうちの正面壁には、ロードロックモジュール(ロードロック室)としての搬入用予備室(以下、搬入室という)14と搬出用予備室(以下、搬出室という)15とがそれぞれ隣接して連結されている。搬入室14の筐体と搬出室15の筐体とは、それぞれ上下両端が閉塞した箱形状に形成されているとともに、負圧に耐え得るロードロックチャンバ構造に構成されている。
搬入室14および搬出室15の負圧移載室11と反対側には、大気圧以上の圧力(以下、正圧という)を維持可能な構造に構成されたフロントエンドモジュールとしての第2ウェハ移載室(以下、正圧移載室という)16が隣接して連結されている。正圧移載室16の筐体は、平面視が横長の長方形で上下両端が閉塞した箱形状に形成されている。正圧移載室16には、正圧下でウェハ2を移載する搬送ロボットとしての不図示の第2ウェハ移載機(以下、正圧移載機という)が設置されている。
正圧移載室16の正面壁には三つのウェハ搬入搬出口21,22,23が、隣合わせに並べられて開設されている。これらのウェハ搬入搬出口21,22,23は、ウェハ2を正圧移載室16に対して搬入搬出し得るように構成されている。これらのウェハ搬入搬出口21,22,23には、ポッドオープナ24がそれぞれ設置されている。ポッドオープナ24は、ポッドを載置する載置台25を備えている。ポッドオープナ24の載置台25に対しては、ポッドが、工程内搬送装置(RGV)によって供給および排出されるようになっている。
負圧移載室筐体12の周りには、ウェハ(基板)2を一枚ずつ収容するように構成された複数、ここでは6つの処理ユニット31(31a〜31f)が負圧移載室11を中心に隣接して配置されている。これら複数の処理ユニット31には、1つの気化器32が、気化器32に接続されたガス供給管と、そのガス供給管から分岐した複数のガス供給管を介して接続され、同様に、1つのオゾナイザ34が、オゾナイザ34に接続されたガス供給管と、そのガス供給管から分岐した複数のガス供給管を介して各処理ユニット31に接続されている。また、各処理ユニット31に接続されたそれぞれの排気管が、その下流側において一本化して1つの排気ポンプ36に接続されている。すなわち、気化器32、オゾナイザ34及び排気ポンプ36は複数の処理ユニット31で共用とされる。
クラスタ装置10は、後述する基板処理フローを統括的に制御するメインコントローラ37を備えている。なお、メインコントローラ37は、クラスタ装置10を構成する各部の動作を制御する。
<処理ユニット>
次に、本実施形態に係るクラスタ装置における処理ユニット31について説明する。なお、6つの処理ユニット31(31a〜31f)は、それぞれ同じ構造を有している。処理ユニット31は、図2,3に示されているように、枚葉式コールドウォール型の基板処理装置として構成されており、機能的にはALD(Atomic Layer Deposition)装置(以下、成膜装置という)40として構成されている。以下、成膜装置40の構成について、図2,3を参照しながら説明する。図2は、ウェハ処理時における成膜装置40の断面構成図であり、図3は、ウェハ搬送時における成膜装置40の断面構成図である。
図2,3に示すとおり、成膜装置40は処理容器202を備えている。処理容器202は、例えば横断面が円形であり扁平な密閉容器として構成されている。また、処理容器202は、例えばアルミニウム(Al)やステンレス(SUS)などの金属材料により構成されている。処理容器202内には、基板としてのシリコンウェハ等のウェハ2を処理する処理室201が形成されている。
処理室201内には、ウェハ2を支持する支持台203が設けられている。ウェハ2が直接触れる支持台203の上面には、例えば、石英(SiO2)、カーボン、セラミックス、炭化ケイ素(SiC)、酸化アルミニウム(Al23)、又は窒化アルミニウム(AlN)などから構成された支持板としてのサセプタ217が設けられている。また、支持台203には、ウェハ2を加熱する加熱手段(加熱源)としてのヒータ206が内蔵されている。なお、支持台203の下端部は、処理容器202の底部を貫通している。
処理室201の外部には、支持台203を昇降させる昇降機構207bが設けられている。この昇降機構207bを作動させて支持台203を昇降させることにより、サセプタ217上に支持されるウェハ2を昇降させることが可能となっている。支持台203は、ウェハ2の搬送時には図3で示される位置(ウェハ搬送位置)まで下降し、ウェハ2の処理時には図2で示される位置(ウェハ処理位置)まで上昇する。なお、支持台203下端部の周囲は、ベローズ203aにより覆われており、処理室201内は気密に保持されている。
また、処理室201の底面(床面)には、例えば3本のリフトピン208bが鉛直方向に立ち上がるように設けられている。また、支持台203(サセプタ217も含む)には、かかるリフトピン208bを貫通させるための貫通孔208aが、リフトピン208bに対応する位置にそれぞれ設けられている。そして、支持台203をウェハ搬送位置まで下降させた時には、図3に示すように、リフトピン208bの上端部がサセプタ217の上面から突出して、リフトピン208bがウェハ2を下方から支持するようになっている。また、支持台203をウェハ処理位置まで上昇させたときには、図2に示すようにリフトピン208bはサセプタ217の上面から埋没して、サセプタ217がウェハ2を下方から支持するようになっている。なお、リフトピン208bは、ウェハ2と直接触れるため、例えば、石英やアルミナなどの材質で形成することが望ましい。
(ウェハ搬送口)
処理室201(処理容器202)の内壁側面には、処理室201の内外にウェハ2を搬送するためのウェハ搬送口250が設けられている。ウェハ搬送口250にはゲートバルブ44が設けられており、ゲートバルブ44を開くことにより、処理室201内と負圧移載室11内とが連通するようになっている。上述したように、負圧移載室11は負圧移載室筐体12内に形成されており、負圧移載室11内には上述の負圧移載機13が設けられている。負圧移載機13には、ウェハ2を搬送する際にウェハ2を支持する搬送アーム13aが備えられている。支持台203をウェハ搬送位置まで下降させた状態で、ゲートバルブ44を開くことにより、負圧移載機13により処理室201内と負圧移載室11内との間でウェハ2を搬送することが可能となっている。処理室201内に搬送されたウェハ2は、上述したようにリフトピン208b上に一時的に載置される。
(ガス排気口)
処理室201(処理容器202)の内壁側面であって、ウェハ搬送口250の反対側には、処理室201内の雰囲気を排気する排気口260が設けられている。排気口260には排気チャンバ260aを介して排気管261が接続されており、排気管261には、処理室201内を所定の圧力に制御するAPC(Auto Pressure Controller)等の圧力調整器262、原料回収トラップ263が順に直列に接続されている。
(ガス導入口)
処理室201の上部に設けられる後述のシャワーヘッド240の上面(天井壁)には、処理室201内に各種ガスを供給するためのガス導入口210が設けられている。なお、ガス導入口210に接続されるガス供給系の構成については後述する。
(シャワーヘッド)
ガス導入口210と処理室201との間には、ガス分散機構としてのシャワーヘッド240が設けられている。シャワーヘッド240は、ガス導入口210から導入されるガスを分散させるための分散板240aと、分散板240aを通過したガスをさらに均一に分散させて支持台203上のウェハ2の表面に供給するためのシャワー板240bと、を備えている。分散板240aおよびシャワー板240bには、複数の通気孔が設けられている。分散板240aは、シャワーヘッド240の上面及びシャワー板240bと対向するように配置されており、シャワー板240bは、支持台203上のウェハ2と対向するように配置されている。なお、シャワーヘッド240の上面と分散板240aとの間、および分散板240aとシャワー板240bとの間には、それぞれ空間が設けられており、かかる空間は、ガス導入口210から供給されるガスを分散させるための第1バッファ空間(分散室)240c、および分散板240aを通過したガスを拡散させるための第2バッファ空間240dとしてそれぞれ機能する。
(排気ダクト)
処理室201(処理容器202)の内壁側面には、段差部201aが設けられている。そして、この段差部201aは、コンダクタンスプレート204をウェハ処理位置近傍に保持するように構成されている。コンダクタンスプレート204は、内周部にウェハ2を収容する穴が設けられた1枚のドーナツ状(リング状)をした円板として構成されている。コンダクタンスプレート204の外周部には、所定間隔を開けて周方向に配列された複数の排出口204aが設けられている。排出口204aは、コンダクタンスプレート204の外周部がコンダクタンスプレート204の内周部を支えることができるよう、不連続に形成されている。
一方、支持台203の外周部には、ロワープレート205が係止している。ロワープレート205は、リング状の凹部205bと、凹部205bの内側上部に一体的に設けられたフランジ部205aとを備えている。凹部205bは、支持台203の外周部と、処理室201の内壁側面との隙間を塞ぐように設けられている。凹部205bの底部のうち排気口260付近の一部には、凹部205b内から排気口260側へガスを排出(流通)させるためのプレート排気口205cが設けられている。フランジ部205aは、支持台203の上部外周縁上に係止する係止部として機能する。フランジ部205aが支持台203の上部外周縁上に係止することにより、ロワープレート205が、支持台203の昇降に伴い支持台203と共に昇降されるようになっている。
支持台203がウェハ処理位置まで上昇したとき、ロワープレート205もウェハ処理位置まで上昇する。その結果、ウェハ処理位置近傍に保持されているコンダクタンスプレート204が、ロワープレート205の凹部205bの上面部分を塞ぎ、凹部205bの内部をガス流路領域とする排気ダクト259が形成されることとなる。なお、このとき、排気ダクト259(コンダクタンスプレート204及びロワープレート205)及び支持台203によって、処理室201内が、排気ダクト259よりも上方の処理室上部と、排気ダクト259よりも下方の処理室下部と、に仕切られることとなる。なお、コンダクタンスプレート204およびロワープレート205は、排気ダクト259の内壁に堆積する反応生成物をエッチングする場合(セルフクリーニングする場合)を考慮して、高温保持が可能な材料、例えば、耐高温高負荷用石英で構成することが好ましい。
ここで、ウェハ処理時における処理室201内のガスの流れについて説明する。まず、ガス導入口210からシャワーヘッド240の上部へと供給されたガスは、第1バッファ空間(分散室)240cを経て分散板240aの多数の孔から第2バッファ空間240dへと入り、さらにシャワー板240bの多数の孔を通過して処理室201内に供給され、ウェハ2上に均一に供給される。そして、ウェハ2上に供給されたガスは、ウェハ2の径方向外側に向かって放射状に流れる。そして、ウェハ2に接触した後の余剰なガスは、ウェハ2外周部に位置する排気ダクト259上、すなわち、コンダクタンスプレート204上を、ウェハ2の径方向外側に向かって放射状に流れ、コンダクタンスプレート204に設けられた排出口204aから、排気ダクト259内のガス流路領域内(凹部205b内)へと排出される。その後、ガスは排気ダクト259内を流れ、プレート排気口205cを経由して排気口260へと排気される。このようにガスを流すことで、処理室下部、すなわち、支持台203の裏面や処理室201の底面側へのガスの回り込みが抑制される。
続いて、上述したガス導入口210に接続されるガス供給系の構成について、図4を参照しながら説明する。図4は、本発明の一実施形態に係る成膜装置40におけるガス供給系及び排気系の概略構成図である。
(液体原料供給系)
処理室201の外部には、液体原料としてのZr(ジルコニウム)を含む有機金属液体原料を供給する液体原料供給源220zが設けられている。液体原料供給源220zは、内部に液体原料を収容(充填)可能なタンク(密閉容器)として構成されている。
液体原料供給源220zには、圧送ガスであるヘリウム(He)ガスを供給するHeガス供給管237zが接続されている。Heガス供給管237zの上流側端部には、Heガス供給源230zが接続されている。また、Heガス供給管237zの下流側端部は、液体原料供給源220z内の上部に存在する空間に連通しており、この空間内にHeガスを供給するようになっている。
また、液体原料供給源220zには、液体原料供給管211zが接続されている。ここで、液体原料供給管211zの上流側端部は、液体原料供給源220z内に収容した液体原料内に浸されている。また、液体原料供給管211zの下流側端部は、原料供給部であり、液体原料を気化させる気化部としての気化器32に接続されている。なお、液体原料供給管211zには、液体原料の液体供給流量を制御する流量制御器としての液体流量コントローラ(LMFC)221zと、液体原料の供給を制御する開閉弁としてのバルブvz1が設けられている。なお、バルブvz1は、気化器32の内部に設けられている。
上記構成により、バルブvz1を開くとともに、Heガス供給管237zから圧送ガスを供給することにより、液体原料供給源220zから気化器32へ液体原料を圧送(供給)することが可能となる。主に、液体原料供給源220z、Heガス供給源230z、Heガス供給管237z、液体原料供給管211z、液体流量コントローラ221z、バルブvz1により液体原料供給系(液体原料供給ライン)が構成される。
(気化部)
液体原料を気化する気化部としての気化器32は、液体原料をヒータ23zで加熱して気化させて原料ガスを発生させる気化室20zと、この気化室20z内へ液体原料を吐出するまでの流路である液体原料流路21zと、液体原料の気化室20z内への供給を制御する上述のバルブvz1と、気化室20zにて発生させた原料ガスを後述する原料ガス供給管213へ供給するアウトレットとしての原料ガス供給口22zと、を有している。上述の液体原料供給管211zの下流側端部は、バルブvz1を介して液体原料流路21zの上流側端部に接続されている。液体原料流路21zには、キャリアガス供給管24zの下流側端部が接続されており、液体原料流路21zを介して気化室20z内にキャリアガスを供給するように構成されている。キャリアガス供給管24zの上流側端部には、キャリアガスとしてのアルゴン(Ar)ガスを供給するためのArガス供給源230cが接続されている。キャリアガス供給管24zには、Arガスの供給流量を制御する流量制御器としての流量コントローラ(MFC)225zと、Arガスの供給を制御するバルブvz2とが設けられている。主に、Arガス供給源230c、キャリアガス供給管24z,流量コントローラ225z,バルブvz2によりキャリアガス供給系(キャリアガス供給ライン)が構成される。なお、気化器32は気化部として構成されている。キャリアガス供給系を気化部に含めて考えてもよい。
(原料ガス供給系)
上記の原料供給部である気化器32の原料ガス供給口22zには、処理室201内に原料ガスを供給する原料ガス供給管213の上流側端部が接続されている。原料ガス供給管213の下流側は、7本のライン、すなわち、第1の原料ガス供給管213a、第2の原料ガス供給管213b、第3の原料ガス供給管213c、第4の原料ガス供給管213d、第5の原料ガス供給管213e、第6の原料ガス供給管213f、第1のベント管213gに分岐している。
第1の原料ガス供給管213aの下流側端部は、バルブva1を介して第1の処理ユニット31aに接続され、第2の原料ガス供給管213bの下流側端部は、バルブvb1を介して第2の処理ユニット31bに接続され、第3の原料ガス供給管213cの下流側端部は、バルブvc1を介して第3の処理ユニット31cに接続され、第4の原料ガス供給管213dの下流側端部は、バルブvd1を介して第4の処理ユニット31dに接続され、第5の原料ガス供給管213eの下流側端部は、バルブve1を介して第5の処理ユニット31eに接続され、第6の原料ガス供給管213fの下流側端部は、バルブvf1を介して第6の処理ユニット31fに接続されている。すなわち、原料ガス供給管213から、原料ガスを第1の処理室201a〜第6の処理室201f内にそれぞれ供給するように構成されている。
上記構成により、気化器32にて液体原料を気化させて原料ガスを発生させるとともに、バルブva1,vb1,vc1,vd1,ve1,vf1をそれぞれ開くことにより、原料ガス供給管213から第1の原料ガス供給管213aを介して第1の処理室201a内へ、原料ガス供給管213から第2の原料ガス供給管213bを介して第2の処理室201b内へ、原料ガス供給管213から第3の原料ガス供給管213cを介して第3の処理室201c内へ、原料ガス供給管213から第4の原料ガス供給管213dを介して第4の処理室201d内へ、原料ガス供給管213から第5の原料ガス供給管213eを介して第5の処理室201e内へ、原料ガス供給管213から第6の原料ガス供給管213fを介して第6の処理室201f内へとそれぞれ原料ガスを供給することが可能となる。主に、原料ガス供給管213、第1の原料ガス供給管213a、第2の原料ガス供給管213b、第3の原料ガス供給管213c、第4の原料ガス供給管213d、第5の原料ガス供給管213e、第6の原料ガス供給管213f、バルブva1、vb1、vc1、vd1、ve1、vf1により、原料ガス供給系(原料ガス供給ライン)が構成される。
また主に、液体原料供給系、気化部、原料ガス供給系により原料供給系が構成される。
(反応ガス供給系)
また、処理室201の外部には、反応剤(酸化源)としてのオゾンガス(O3)のもととなる酸素ガス(O2)を供給するO2ガス供給源230oが設けられている。O2ガス供給源230oには、O2ガス供給管211oの上流側端部が接続されている。O2ガス供給管211oの下流側端部には、プラズマによりO2ガスから反応剤としてのO3ガスを生成させる反応剤供給部であるオゾナイザ34が接続されている。なお、O2ガス供給管211oには、O2ガスの供給流量を制御する流量制御器としての流量コントローラ221oが設けられている。
オゾナイザ34のアウトレット22oには、反応ガスであるO3ガスを供給する反応ガス供給管215の上流側端部が接続されている。また、反応ガス供給管215の下流側は、7本のライン、すなわち、第1の反応ガス供給管215a、第2の反応ガス供給管215b、第3の反応ガス供給管215c、第4の反応ガス供給管215d、第5の反応ガス供給管215e、第6の反応ガス供給管215f、第2のベント管215gに分岐している。
第1の反応ガス供給管215aの下流側端部は、バルブva2を介して第1の処理ユニット31aに接続され、第2の反応ガス供給管215bの下流側端部は、バルブvb2を介して第2の処理ユニット31bに接続され、第3の反応ガス供給管215cの下流側端部は、バルブvc2を介して第3の処理ユニット31cに接続され、第4の反応ガス供給管215dの下流側端部は、バルブvd2を介して第4の処理ユニット31dに接続され、第5の反応ガス供給管215eの下流側端部は、バルブve2を介して第5の処理ユニット31eに接続され、第6の反応ガス供給管215fの下流側端部は、バルブvf2を介して第6の処理ユニット31fに接続されている。すなわち、反応ガス供給管215から、O3ガスを第1の処理室201a〜第6の処理室201f内にそれぞれ供給するように構成されている。
上記構成により、オゾナイザ34にてO3ガスを発生させるとともに、バルブva2,vb2、vc2、vd2、ve2,vf2をそれぞれ開くことにより、反応ガス供給管215から第1の反応ガス供給管215aを介して第1の処理室201a内へ、反応ガス供給管215から第2の反応ガス供給管215bを介して第2の処理室201b内へ、反応ガス供給管215から第3の反応ガス供給管215cを介して第3の処理室201c内へ、反応ガス供給管215から第4の反応ガス供給管215dを介して第4の処理室201d内へ、反応ガス供給管215から第5の反応ガス供給管215eを介して第5の処理室201e内へ、反応ガス供給管215から第6の反応ガス供給管215fを介して第6の処理室201f内へとそれぞれ反応ガスであるO3ガスを供給することが可能となる。主に、O2ガス供給源230o、O2ガス供給管211o、流量コントローラ221o、オゾナイザ34、反応ガス供給管215、第1の反応ガス供給管215a、第2の反応ガス供給管215b、第3の反応ガス供給管215c、第4の反応ガス供給管215d、第5の反応ガス供給管215e、第6の反応ガス供給管215f、バルブva2、vb2、vc2、vd2、ve2、vf2により、反応剤供給系である反応ガス供給系(反応ガス供給ライン)が構成される。
主に上述の原料ガス供給系及び反応ガス供給系により、処理容器内に処理ガス(液体原料を気化させた原料ガスおよび反応ガス)を供給する処理ガス供給系が構成される。
(パージガス供給系)
また、第1の処理室201a〜第6の処理室201fの外部には、パージガスとしての窒素(N2)ガスを供給するN2ガス供給源230p、230nが設けられている。N2ガス供給源230pには、パージガス供給管214の上流側端部が接続されている。パージガス供給管214の下流側は、6本のライン、すなわち、第1のパージガス供給管214a、第2のパージガス供給管214b、第3のパージガス供給管214c、第4のパージガス供給管214d、第5のパージガス供給管214e、第6のパージガス供給管214fに分岐している。第1のパージガス供給管214aの下流側端部は、N2ガスの供給流量を制御する流量制御器としての流量コントローラ225a、バルブva3を介して、第1の原料ガス供給管213aのバルブva1の下流側に接続されている。また、第2のパージガス供給管214bの下流側端部は、流量コントローラ225b、バルブvb3を介して、第2の原料ガス供給管213bのバルブvb1の下流側に接続されている。また、第3のパージガス供給管214cの下流側端部は、流量コントローラ225c、バルブvc3を介して、第3の原料ガス供給管213cのバルブvc1の下流側に接続されている。また、第4のパージガス供給管214dの下流側端部は、流量コントローラ225d、バルブvd3を介して、第4の原料ガス供給管213dのバルブvd1の下流側に接続されている。また、第5のパージガス供給管214eの下流側端部は、流量コントローラ225e、バルブve3を介して、第5の原料ガス供給管213eのバルブve1の下流側に接続されている。また、第6のパージガス供給管214fの下流側端部は、流量コントローラ225f、バルブvf3を介して第6の原料ガス供給管213fのバルブvf1の下流側に接続されている。主に、N2ガス供給源230p、パージガス供給管214、第1〜第6のパージガス供給管214a、214b、214c、214d、214e、214f、流量コントローラ225a,225b,225c、225d,225e,225f,バルブva3,vb3,vc3,vd3,ve3,vf3により第1のパージガス供給系(第1のパージガス供給ライン)が構成される。
また、N2ガス供給源230nには、パージガス供給管216の上流側端部が接続されている。パージガス供給管216の下流側は、6本のライン、すなわち、第7のパージガス供給管216a、第8のパージガス供給管216b、第9のパージガス供給管216c、第10のパージガス供給管216d、第11のパージガス供給管216e、第12のパージガス供給管216fに分岐している。第7のパージガス供給管216aの下流側端部は、N2ガスの供給流量を制御する流量制御器としての流量コントローラ227a、バルブva4を介して第1の反応ガス供給管215aのバルブva2の下流側に接続されている。また、第8のパージガス供給管216bの下流側端部は、流量コントローラ227b、バルブvb4を介して第2の反応ガス供給管215bのバルブvb2の下流側に接続されている。また、第9のパージガス供給管216cの下流側端部は、流量コントローラ227c、バルブvc4を介して第3の反応ガス供給管215cのバルブvc2の下流側に接続されている。また、第10のパージガス供給管216dの下流側端部は、流量コントローラ227d、バルブvd4を介して第4の反応ガス供給管215dのバルブvd2の下流側に接続されている。また、第11のパージガス供給管216eの下流側端部は、流量コントローラ227e、バルブve4を介して第5の反応ガス供給管215eのバルブve2の下流側に接続されている。また、第12のパージガス供給管216fの下流側端部は、流量コントローラ227f、バルブvf4を介して第6の反応ガス供給管215fのバルブvf2の下流側に接続されている。主に、N2ガス供給源230n、パージガス供給管216、第7〜第12のパージガス供給管216a、216b、216c、216d、216e、216f、流量コントローラ227a,227b,227c、227d,227e,227f,バルブva4,vb4,vc4,vd4,ve4,vf4により第2のパージガス供給系(第2のパージガス供給ライン)が構成される。
(排気系)
第1の処理ユニット31aには第1の排気管261aの上流側端部が接続されている。また、第2の処理ユニット31bには第2の排気管261bの上流側端部が接続されている。また、第3の処理ユニット31cには第3の排気管261cの上流側端部が接続されている。また、第4の処理ユニット31dには第4の排気管261dの上流側端部が接続されている。また、第5の処理ユニット31eには第5の排気管261eの上流側端部が接続されている。また、第6の処理ユニット31fには第6の排気管261fの上流側端部が接続されている。そして、第1〜第6の排気管261a、261b、261c、261d、261e及び261fは一本化して排気管261に接続され、排気管261の下流側端部に排気ポンプ36が接続される。主に、第1〜第6の排気管261a、261b、261c、261d、261e、261f、排気管261及び排気ポンプ36により排気系(排気ライン)が構成される。
(ベント系)
また、第1のベント管213g、第2のベント管215gの下流側端部はそれぞれ排気管261に接続されている。第1のベント管213g、第2のベント管215gには、ガスの供給を制御するためのバルブvg1,vg2がそれぞれ設けられている。
上記構成により、バルブva1,vb1,vc1,vd1,ve1,vf1を閉じ、バルブvg1を開くことで、原料ガス供給管213を流れるガスを、第1の処理室201a〜第6の処理室201f内に供給することなく処理室をバイパスさせ、第1の処理室201a〜第6の処理室201f外へとそれぞれ排気することが可能となる。また、バルブva2,vb2,vc2,vd2,ve2,vf2を閉じ、バルブvg2を開くことで、反応ガス供給管215を流れるガスを、第1の処理室201a〜第6の処理室201f内に供給することなく処理室をバイパスさせ、第1の処理室201a〜第6の処理室201f外へとそれぞれ排気することが可能となる。
主に、第1のベント管213g、第2のベント管215g、バルブvg1,vg2によりベント系(ベントライン)が構成される。
(コントローラ)
なお、成膜装置40は、成膜装置40の各部の動作を制御するコントローラ280を有している。コントローラ280は、メインコントローラ37により制御されることで、ゲートバルブ44、昇降機構207b、負圧移載機13、ヒータ206、圧力調整器(APC)262、気化器32,オゾナイザ34、排気ポンプ36、バルブva1〜va4,vb1〜vb4,vc1〜vc4、vd1〜vd4、ve1〜ve4、vf1〜vf4、vg1、vg2、液体流量コントローラ221z,流量コントローラ225z,221o,225a,225b,225c,225d,225e,225f,227a,227b,227c,227d,227e,227f等の動作を制御する。
(2)基板処理工程
次に、前記構成に係るクラスタ装置10を使用して、半導体装置の製造工程の一工程として、ウェハ2を処理する方法(基板処理工程)について説明する。なお、以下の説明において、クラスタ装置10を構成する各部の動作は制御部としてのメインコントローラ37により制御される。
<成膜工程>
各処理ユニット31において、ウェハ2上に形成された下部電極上に、キャパシタ絶縁膜としての高誘電率絶縁膜を形成する成膜工程について、図5及び図6を参照しながら説明する。図5は、本発明の実施形態にかかる各処理ユニット31で行われる成膜工程のフロー図である。また、図6は、本発明の実施形態にかかる6つの処理ユニット31への各ガスの供給タイミングを示すタイムチャート図である。ここでは、Zr原料としてZrプリカーサであるTEMAZ(Tetrakis−Ethyl−Methyl−Amino−Zirconium : Zr[N(CH32(C2524)を、酸化源としてO3を用いて、下部電極としてのTiN膜上に、高誘電率絶縁膜としての酸化ジルコニウム(ZrO2膜)をALD法によって成膜する。なお、以下の説明において、成膜装置40を構成する各部の動作はコントローラ280により制御される。また、コントローラ280の動作はメインコントローラ37により制御される。
〔ウェハロード工程(S1)〕
まず、各処理ユニット31内の昇降機構207bを作動させ、支持台203を、図3に示すウェハ搬送位置まで下降させる。そして、第1の処理ユニット31aのゲートバルブ44を開き、第1の処理室201aと負圧移載室11とを連通させる。そして、上述のように負圧移載機13により負圧移載室11内から第1の処理室201a内へウェハ2を搬送アーム13aで支持した状態でロードする。第1の処理室201a内に搬入したウェハ2は、支持台203の上面から突出しているリフトピン208b上に一時的に載置される。負圧移載機13の搬送アーム13aが第1の処理室201a内から負圧移載室11内へ戻ると、ゲートバルブ44が閉じられる。同様に、第2の処理室201b〜第6の処理室201f内にウェハ2を搬入する。
続いて、各処理室201内の昇降機構207を作動させ、支持台203を、図2に示すウェハ処理位置まで上昇させる。その結果、リフトピン208bは支持台203の上面から埋没し、ウェハ2は、支持台203上面のサセプタ217上に載置される。
〔プレヒート工程(S2)〕
続いて、圧力調整器262により、各処理室201内の圧力が所定の処理圧力となるように制御する。また、ヒータ206に供給する電力を調整し、ウェハ温度を昇温させ、ウェハ2の表面温度が所定の処理温度となるように制御する。
なお、ウェハロード工程(S1)、プレヒート工程(S2)および後述するウェハアンロード工程(S5)においては、排気ポンプ36を作動させつつ、バルブva1,vb1,vc1,vd1,ve1,vf1,va2,vb2,vc2,vd2,ve2,vf2を閉じ、バルブva3,vb3,vc3,vd3,ve3,vf3,va4,vb4,vc4,vd4,ve4,vf4を開くことで、各処理室201内にN2ガスを常に流し、各処理室201内をN2雰囲気としておく。これにより、各処理室201内のウェハ2上へのパーティクルの付着を抑制することが可能となる。なお、排気ポンプ36は、少なくともウェハロード工程(S1)から後述のウェハアンロード工程(S5)までの間は、常に作動させた状態とする。
工程S1〜S2と並行して、液体原料(Zr原料)であるTEMAZを気化させた原料ガス(Zr原料ガス)、すなわちTEMAZガスを生成(予備気化)させておく。すなわち、バルブva1,vb1,vc1,vd1,ve1,vf1を閉じたまま、バルブvz2を開き、気化器32へキャリアガス(Arガス)を供給しつつ、バルブvz1を開くとともに、Heガス供給管237zから圧送ガスを供給して、液体原料供給源220zから気化器32へ液体原料を圧送(供給)し、気化器32にて液体原料を気化させて原料ガスを生成させておく。この予備気化工程では、排気ポンプ36を作動させつつ、バルブva1,vb1,vc1,vd1,ve1,vf1を閉じたまま、バルブvg1を開くことにより、原料ガスを各処理室201内に供給することなく各処理室201をバイパスして排気しておく。
また、このとき、反応剤(酸化源)としてのO3ガスも生成させた状態としておく。すなわち、O2ガス供給源230oからオゾナイザ34へO2ガスを供給して、オゾナイザ34にてO3ガスを生成させておく。この際、排気ポンプ36を作動させつつ、バルブva2,vb2,vc2,vd2,ve2,vf2を閉じたまま、バルブvg2を開くことにより、O3ガスを各処理室201内に供給することなく各処理室201をバイパスして排気しておく。
気化器32にて原料ガス(TEMAZガス)を安定した状態で生成させたり、オゾナイザ34にてO3ガスを安定した状態で生成させたりするには所定の時間を要する。すなわち、原料ガスやO3ガスの生成初期は、これらが不安定な状態で供給される。このため、本実施形態では、原料ガス、O3ガスを予め生成させておくことで安定供給可能な状態としておき、バルブva1,vb1,vc1,vd1,ve1,vf1,vg1、va2,vb2,vc2,vd2,ve2,vf2、vg2の開閉を切り替えることにより、原料ガス、O3ガスの流路を切り替える。その結果、バルブの切り替えにより、各処理室201内への原料ガス、O3ガスの安定した供給を迅速に開始あるいは停止できるようになり、好ましい。
〔高誘電率絶縁膜形成工程(S3)〕
続いて、処理室201内にTEMAZガスを供給するTEMAZ供給工程S3aと、処理室201内をパージし、処理室201内に残留するTEMAZガスを除去するパージ工程S3bと、処理室201内にO3ガスを供給するO3供給工程S3cと、処理室201内をパージし、処理室201内に残留するO3ガスや反応副生成物を除去するパージ工程S3dとを1サイクルとしてこのサイクルを所定回数実施することでウェハ2上にZrO2膜を形成する処理を各処理ユニット31において実施する。すなわち、各処理室201内に、処理ガスとしてのTEMAZガス及びO3ガスを交互に供給し、排気することで、各処理ユニット31においてウェハ2上(下部電極であるTiN膜上)にキャパシタ絶縁膜である高誘電率絶縁膜としてのZrO2膜を形成する処理を行う。高誘電率絶縁膜形成工程(S3)における本実施形態にかかる6つの処理ユニット31における動作について、図4〜図6を参照しながら説明する。
<ステップS10>
まず、バルブvg1、バルブva3を閉じ、バルブva1を開いて、第1の処理室201a内にTEMAZガスを供給する(第1の処理室:TEMAZ供給工程S3a)。このとき、バルブva4は開いており、第1の処理室201a内にはN2ガスも供給される。
<ステップS11>
所定の時間が経過したら、バルブva3を開き、バルブva1、バルブvb3を閉じ、バルブvb1を開いて、第2の処理室201b内にTEMAZガスを供給する(第2の処理室:TEMAZ供給工程S3a)。このとき、バルブvb4は開いており、第2の処理室201b内にはN2ガスも供給される。また、このとき、バルブva3,va4も開いているので、第1の処理室201a内にもN2ガスが供給され、第1の処理室201a内はパージされる(第1の処理室:パージ工程S3b)。
<ステップS12>
所定の時間が経過したら、バルブvb3を開き、バルブvb1、バルブvc3を閉じ、バルブvc1を開いて、第3の処理室201c内にTEMAZガスを供給する(第3の処理室:TEMAZ供給工程S3a)。このとき、バルブvc4は開いており、第3の処理室201c内にはN2ガスも供給される。また、このとき、バルブvb3,vb4も開いているので、第2の処理室201b内にもN2ガスが供給され、第2の処理室201b内はパージされる(第2の処理室:パージ工程S3b)。
<ステップS13>
所定の時間が経過したら、バルブvc3を開き、バルブvc1、バルブvd3を閉じ、バルブvd1を開いて、第4の処理室201d内にTEMAZガスを供給する(第4の処理室:TEMAZ供給工程S3a)。また、このとき、バルブvg2を閉じ、バルブva2を開き、バルブva4を閉じて、第1の処理室201a内にO3ガスを供給する(第1の処理室:O3供給工程S3c)。このとき、バルブvd4は開いており、第4の処理室201d内にはN2ガスも供給される。また、このとき、バルブva3も開いているので、第1の処理室201a内にはN2ガスも供給される。また、このとき、バルブvc3,vc4も開いているので、第3の処理室201c内にもN2ガスが供給され、第3の処理室201c内はパージされる(第3の処理室:パージ工程S3b)。
<ステップS14>
所定の時間が経過したら、バルブvd3を開き、バルブvd1、バルブve3を閉じ、バルブve1を開いて、第5の処理室201e内にTEMAZガスを供給する(第5の処理室:TEMAZ供給工程S3a)。また、このとき、バルブva2を閉じ、バルブva4、バルブvb2を開いて、バルブvb4を閉じ、第2の処理室201b内にO3ガスを供給する(第2の処理室:O3供給工程S3c)。このとき、バルブve4は開いているので、第5の処理室201e内にはN2ガスも供給される。また、このとき、バルブvb3も開いているので、第2の処理室201b内にはN2ガスも供給される。また、このとき、バルブvd3、vd4も開いているので、第4の処理室201d内にもN2ガスが供給され、第4の処理室201d内はパージされる(第4の処理室:パージ工程S3b)。また、このとき、バルブva3,va4も開いているので、第1の処理室201a内にもN2ガスが供給され、第1の処理室201a内はパージされる(第1の処理室:パージ工程S3d)。
<ステップS15>
所定の時間が経過したら、バルブve3を開き、バルブve1、バルブvf3を閉じ、バルブvf1を開いて、第6の処理室201f内にTEMAZガスを供給する(第6の処理室:TEMAZ供給工程S3a)。また、このとき、バルブvb2を閉じ、バルブvb4、バルブvc2を開いて、バルブvc4を閉じ、第3の処理室201c内にO3ガスを供給する(第3の処理室:O3供給工程S3c)。ここで、第1の処理室201a内において、ALDの1サイクル分が終了する。また、このとき、バルブvf4は開いているので、第6の処理室201f内にはN2ガスも供給される。また、このとき、バルブvc3も開いているので、第3の処理室201c内にはN2ガスも供給される。また、このとき、バルブve3、ve4も開いているので、第5の処理室201e内にもN2ガスが供給され、第5の処理室201e内はパージされる(第5の処理室:パージ工程S3b)。また、このとき、バルブvb3,vb4も開いているので、第2の処理室201b内にもN2ガスが供給され、第2の処理室201b内はパージされる(第2の処理室:パージ工程S3d)。
<ステップS16>
所定の時間が経過したら、バルブvf3を開き、バルブvf1、バルブva3を閉じ、バルブva1を開いて、第1の処理室201a内にTEMAZガスを供給する(第1の処理室:TEMAZ供給工程S3a)。また、このとき、バルブvc2を閉じ、バルブvc4、バルブvd2を開いて、バルブvd4を閉じ、第4の処理室201d内にO3ガスを供給する(第4の処理室:O3供給工程S3c)。ここで、第2の処理室201b内において、ALDの1サイクル分が終了する。また、このとき、バルブva4は開いているので、第1の処理室201a内にはN2ガスも供給される。また、このとき、バルブvd3も開いているので、第4の処理室201d内にはN2ガスも供給される。また、このとき、バルブvf3、vf4も開いているので、第6の処理室201f内にもN2ガスが供給され、第6の処理室201f内はパージされる(第6の処理室:パージ工程S3b)。また、このとき、バルブvc3,vc4も開いているので、第3の処理室201c内にもN2ガスが供給され、第3の処理室201c内はパージされる(第3の処理室:パージ工程S3d)。
<ステップS17>
所定の時間が経過したら、バルブva3を開き、バルブva1、バルブvb3を閉じ、バルブvb1を開いて、第2の処理室201b内にTEMAZガスを供給する(第2の処理室:TEMAZ供給工程S3a)。また、このとき、バルブvd2を閉じ、バルブvd4、バルブve2を開いて、バルブve4を閉じ、第5の処理室201e内にO3ガスを供給する(第5の処理室:O3供給工程S3c)。ここで、第3の処理室201c内において、ALDの1サイクル分が終了する。また、このとき、バルブvb4は開いているので、第2の処理室201b内にはN2ガスも供給される。また、このとき、バルブve3も開いているので、第5の処理室201e内にはN2ガスも供給される。また、このとき、バルブva3、va4も開いているので、第1の処理室201a内にもN2ガスが供給され、第1の処理室201a内はパージされる(第1の処理室:パージ工程S3b)。また、このとき、バルブvd3,vd4も開いているので、第4の処理室201d内にもN2ガスが供給され、第4の処理室201d内はパージされる(第4の処理室:パージ工程S3d)。
<ステップS18>
所定の時間が経過したら、バルブvb3を開き、バルブvb1、バルブvc3を閉じ、バルブvc1を開いて、第3の処理室201c内にTEMAZガスを供給する(第3の処理室:TEMAZ供給工程S3a)。また、このとき、バルブve2を閉じ、バルブve4、バルブvf2を開いて、バルブvf4を閉じて、第6の処理室201f内にO3ガスを供給する(第6の処理室:O3供給工程S3c)。ここで、第4の処理室201d内において、ALDの1サイクル分が終了する。また、このとき、バルブvc4は開いているので、第3の処理室201c内にはN2ガスも供給される。また、このとき、バルブvf3も開いているので、第6の処理室201f内にはN2ガスも供給される。また、このとき、バルブvb3、vb4も開いているので、第2の処理室201b内にもN2ガスが供給され、第2の処理室201b内はパージされる(第2の処理室:パージ工程S3b)。また、このとき、バルブve3,ve4も開いているので、第5の処理室201e内にもN2ガスが供給され、第5の処理室201e内はパージされる(第5の処理室:パージ工程S3d)。
<ステップS19>
所定の時間が経過したら、バルブvc3を開き、バルブvc1、バルブvd3を閉じ、バルブvd1を開いて、第4の処理室201d内にTEMAZガスを供給する(第4の処理室:TEMAZ供給工程S3a)。また、このとき、バルブvf2を閉じ、バルブvf4、バルブva2を開いて、バルブva4を閉じ、第1の処理室201a内にO3ガスを供給する(第1の処理室:O3供給工程S3c)。ここで、第5の処理室201e内において、ALDの1サイクル分が終了する。また、このとき、バルブvd4は開いているので、第4の処理室201d内にはN2ガスも供給される。また、このとき、バルブva3も開いているので、第1の処理室201a内にはN2ガスも供給される。また、このとき、バルブvc3、vc4も開いているので、第3の処理室201c内にもN2ガスが供給され、第3の処理室201c内はパージされる(第3の処理室:パージ工程S3b)。また、このとき、バルブvf3,vf4も開いているので、第6の処理室201f内にもN2ガスが供給され、第6の処理室201f内はパージされる(第6の処理室:パージ工程S3d)。
<ステップS20>
所定の時間が経過したら、バルブvd3を開き、バルブvd1、バルブve3を閉じ、バルブve1を開いて、第5の処理室201e内にTEMAZガスを供給する(第5の処理室:TEMAZ供給工程S3a)。また、このとき、バルブva2を閉じ、バルブva4、バルブvb2を開いて、バルブvb4を閉じて、第2の処理室201b内にO3ガスを供給する(第2の処理室:O3供給工程S3c)。ここで、第6の処理室201f内において、ALDの1サイクル分が終了する。また、このとき、バルブve4は開いているので、第5の処理室201e内にはN2ガスも供給される。また、このとき、バルブvb3も開いているので、第2の処理室201b内にはN2ガスも供給される。また、このとき、バルブvd3、vd4も開いているので、第4の処理室201d内にもN2ガスが供給され、第4の処理室201d内はパージされる(第4の処理室:パージ工程S3b)。また、このとき、バルブva3,va4も開いているので、第1の処理室201a内にもN2ガスが供給され、第1の処理室201a内はパージされる(第1の処理室:パージ工程S3d)。
〔所定回数実施工程(S3e)〕
以上のように各処理室201(各処理ユニット31)において、工程S3a〜S3dまでを1サイクルとしてこのサイクルを所定回数行うことにより、ウェハ2上(下部電極であるTiN膜上)に、所定膜厚のジルコニウムを含む高誘電率絶縁膜としてのZrO2膜が形成される。
〔ガス排気工程(S4)〕
所定膜厚のZrO2膜が形成されると、第1の処理ユニット31aから順番に上述のサイクルが終了するが、第1の処理ユニット31aのサイクルが所定回数終了した場合には、サイクルが終了した処理ユニット31に接続されたバルブの開閉は行わない。また、第6の処理室201f内への最後のTEMAZ供給工程(S3a)が終了したら、バルブvf1を閉じ、バルブvf3、バルブvg1を開く。そして、圧送ガスであるHeガスの供給を停止し、TEMAZの気化を終了する。そして、第6の処理室201f内への最後のO3供給工程(S3c)が終了したら、バルブvf2を閉じ、バルブvf4、バルブvg2を開く。そして、オゾナイザ34を停止させて、所定時間O2ガスを反応ガス供給管215内に供給することにより、ガス配管内をパージする。なお、サイクルが所定回数終了した処理ユニットから順番に各処理室201内がそれぞれ真空排気される。
〔ウェハアンロード工程(S5)〕
その後、上述したウェハロード工程(S1)に示した手順とは逆の手順により、所定膜厚のZrO2膜が形成された後のウェハ2を、各処理室201内から負圧移載室11内へ搬出する。
なお、高誘電率絶縁膜形成工程(S3)をALD法により行う場合には、処理温度(ウェハ温度)をTEMAZガスが自己分解しない程度の温度帯となるように制御する。この場合、TEMAZ供給工程(S3a)においては、TEMAZガスはウェハ2上に吸着する。O3供給工程(S3c)においては、ウェハ2上に吸着したTEMAZガスとO3ガスとが反応することによりウェハ2上に1原子層未満のZrO2膜が形成される。
本実施形態の成膜装置にて、ALD法により、ZrO2膜を形成する際の処理条件としては、
ウェハ温度:100〜400℃、
処理室内圧力:1〜1000Pa、
TEMAZ供給流量:1〜100sccm、
3供給流量:100〜10000sccm、
2(パージガス)供給流量:10〜10000sccm、
が例示される。
本実施形態によれば、気化器32等の原料供給部やオゾナイザ34等の反応剤供給部、排気ポンプ36等の排気部を複数使用せずに、これら原料供給部、反応剤供給部又は排気部を1つで共用として、これら生成装置から複数の処理ユニット31(処理室201)へ配管を分岐して敷設して、前記原料供給部と前記反応剤供給部とをバルブを切り替えて時間分割して用い、それぞれの処理ユニット31では、上述した4ステップ(S3a〜S3d)からなる1サイクルを連続して実行する。これにより、生産性よく、半導体装置の不良の少ない高品質な膜を形成でき、歩留りの低下を防止できるようになる。また、処理ガスの使用効率を高めることができ、更にフットプリントにも優れ、製造コストを低く抑えることができる。
<本発明の他の実施形態>
以上、本発明の実施形態を具体的に説明したが、本発明は上述の実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
例えば、上述の実施形態では、高誘電率絶縁膜としてZrO2膜を形成する場合について説明したが、本発明は上述の実施形態に限定されない。すなわち、高誘電率絶縁膜として、酸化ハフニウム膜(HfO2膜)、酸化チタン膜(TiO2膜)、酸化ニオブ膜(Nb25膜)、酸化タンタル膜(Ta25膜)、チタン酸ストロンチウム膜(SrTiO膜)、チタン酸バリウムストロンチウム膜(BaSrTiO膜)、チタン酸ジルコン酸鉛膜(PZT膜)、もしくは、それらの膜に他の元素を添加した膜を形成する場合にも、本発明は好適に適用可能である。
<本発明の好ましい態様>
以下に、本発明の好ましい態様について付記する。
本発明の一態様によれば、
基板を処理する複数の処理室と、
前記各処理室内へ原料を供給する原料供給系と、
前記各処理室内へ反応剤を供給する反応剤供給系と、
前記原料供給系に設けられ前記複数の処理室で共用とされる原料供給部と、
前記反応剤供給系に設けられ前記複数の処理室で共用とされる反応剤供給部と、
基板を収容した前記各処理室内に前記原料と前記反応剤とを交互に供給して前記基板を処理すると共に、前記原料供給部と前記反応剤供給部とを前記各処理室で時間分割して用いるように、前記原料供給系、前記反応剤供給系、前記原料供給部および前記反応剤供給部を制御する制御部と、
を有する基板処理装置が提供される。
好ましくは、前記原料供給部が気化器である。
また好ましくは、前記反応剤がオゾン(O3)であり、前記反応剤供給部がオゾナイザ(オゾン発生器)である。
また好ましくは、前記制御部は、前記基板を処理する際には、前記原料および前記反応剤が、必ず何れかの前記処理室内に流れるように、前記原料供給系、前記反応剤供給系、前記原料供給部および前記反応剤供給部を制御するよう構成される。
本発明の他の態様によれば、
基板を複数の処理室内にそれぞれ収容する工程と、
前記基板を収容した前記各処理室内に原料と反応剤とを交互に供給して前記基板を処理する工程を有し、
前記基板を処理する工程では、前記複数の処理室で共用とされる原料供給部と前記複数の処理室で共用とされる反応剤供給部とを前記各処理室で時間分割して用いる半導体装置の製造方法が提供される。
2 ウェハ(基板)
10 クラスタ装置(基板処理装置)
31 処理ユニット
32 気化器
34 オゾナイザ
36 排気ポンプ
201 処理室
280 コントローラ

Claims (2)

  1. 基板を処理する複数の処理室と、
    前記各処理室内へ原料を供給する原料供給系と、
    前記各処理室内へ反応剤を供給する反応剤供給系と、
    前記原料供給系に設けられ前記複数の処理室で共用とされる原料供給部と、
    前記反応剤供給系に設けられ前記複数の処理室で共用とされる反応剤供給部と、
    基板を収容した前記各処理室内に前記原料と前記反応剤とを交互に供給して前記基板を処理すると共に、前記原料供給部と前記反応剤供給部とを前記各処理室で時間分割して用いるように、前記原料供給系、前記反応剤供給系、前記原料供給部および前記反応剤供給部を制御する制御部と、
    を有することを特徴とする基板処理装置。
  2. 基板を複数の処理室内にそれぞれ収容する工程と、
    前記基板を収容した前記各処理室内に原料と反応剤とを交互に供給して前記基板を処理する工程を有し、
    前記基板を処理する工程では、前記複数の処理室で共用とされる原料供給部と前記複数の処理室で共用とされる反応剤供給部とを前記各処理室で時間分割して用いることを特徴とする半導体装置の製造方法。
JP2011022514A 2011-02-04 2011-02-04 基板処理装置及び半導体装置の製造方法 Withdrawn JP2012164736A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011022514A JP2012164736A (ja) 2011-02-04 2011-02-04 基板処理装置及び半導体装置の製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011022514A JP2012164736A (ja) 2011-02-04 2011-02-04 基板処理装置及び半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2012164736A true JP2012164736A (ja) 2012-08-30

Family

ID=46843866

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011022514A Withdrawn JP2012164736A (ja) 2011-02-04 2011-02-04 基板処理装置及び半導体装置の製造方法

Country Status (1)

Country Link
JP (1) JP2012164736A (ja)

Cited By (262)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104746040A (zh) * 2013-12-27 2015-07-01 株式会社日立国际电气 衬底处理系统、半导体器件的制造方法及存储介质
KR101553230B1 (ko) 2013-12-27 2015-09-15 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP2015179843A (ja) * 2014-03-18 2015-10-08 エーエスエム アイピー ホールディング ビー.ブイ. 複数の反応チャンバを共有するガスシステムで均一な処理を行う方法
JP2016009724A (ja) * 2014-06-23 2016-01-18 東京エレクトロン株式会社 成膜装置および成膜方法
JP2017150007A (ja) * 2016-02-22 2017-08-31 株式会社Cnk 処理方法及び処理装置
US10131990B2 (en) 2015-08-27 2018-11-20 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR20200027430A (ko) * 2018-09-04 2020-03-12 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
CN114059040A (zh) * 2021-11-24 2022-02-18 四川大学 一种在管网内表面上TiN涂层的沉积方法及装置
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition

Cited By (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
KR101590044B1 (ko) 2013-12-27 2016-01-29 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 시스템, 반도체 장치의 제조 방법 및 기록 매체
KR101553230B1 (ko) 2013-12-27 2015-09-15 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20150077254A (ko) * 2013-12-27 2015-07-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 시스템, 반도체 장치의 제조 방법 및 기록 매체
US20150187611A1 (en) * 2013-12-27 2015-07-02 Hitachi Kokusai Electric Inc. Substrate processing system, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
CN104746040A (zh) * 2013-12-27 2015-07-01 株式会社日立国际电气 衬底处理系统、半导体器件的制造方法及存储介质
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
JP2015179843A (ja) * 2014-03-18 2015-10-08 エーエスエム アイピー ホールディング ビー.ブイ. 複数の反応チャンバを共有するガスシステムで均一な処理を行う方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105316654A (zh) * 2014-06-23 2016-02-10 东京毅力科创株式会社 成膜装置和成膜方法
CN105316654B (zh) * 2014-06-23 2018-04-03 东京毅力科创株式会社 成膜装置和成膜方法
KR101787825B1 (ko) * 2014-06-23 2017-11-15 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법
JP2016009724A (ja) * 2014-06-23 2016-01-18 東京エレクトロン株式会社 成膜装置および成膜方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10131990B2 (en) 2015-08-27 2018-11-20 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
JP2017150007A (ja) * 2016-02-22 2017-08-31 株式会社Cnk 処理方法及び処理装置
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102271228B1 (ko) 2018-09-04 2021-07-01 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법
KR20200027430A (ko) * 2018-09-04 2020-03-12 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114059040A (zh) * 2021-11-24 2022-02-18 四川大学 一种在管网内表面上TiN涂层的沉积方法及装置
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Similar Documents

Publication Publication Date Title
JP2012164736A (ja) 基板処理装置及び半導体装置の製造方法
JP6022638B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
JP5270476B2 (ja) 半導体装置の製造方法及び基板処理装置
JP6245643B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP4987812B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5719138B2 (ja) 半導体装置の製造方法および基板処理方法
US20100291763A1 (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP2011142226A (ja) 半導体装置、半導体装置の製造方法および基板処理装置
KR20140108160A (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기억 매체 및 기판 처리 장치
US20140242810A1 (en) Substrate processing apparatus and method of supplying and exhausting gas
WO2020189205A1 (ja) 基板処理装置、半導体装置の製造方法およびノズル
JP2011132568A (ja) 半導体装置の製造方法および基板処理装置
JP2012062502A (ja) 半導体装置の製造方法及び基板処理装置
JP2012059834A (ja) 半導体装置の製造方法
US20200411330A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP7016920B2 (ja) 基板処理装置、基板支持具、半導体装置の製造方法および基板処理方法
JP2015185614A (ja) 基板処理方法、基板処理装置およびプログラム
TWI501296B (zh) A semiconductor device manufacturing method, a semiconductor device, and a substrate processing device
JP2012136743A (ja) 基板処理装置
JP2010080737A (ja) 半導体装置の製造方法及び基板処理装置
JP2015206105A (ja) 基板処理装置及び半導体製造方法
JP2015165569A (ja) 半導体装置の製造方法、基板処理装置および半導体装置
JP6084070B2 (ja) 半導体装置の製造方法、プログラムおよび基板処理装置
TWI769629B (zh) 基板處理裝置、半導體裝置之製造方法及程式
JP5801632B2 (ja) 半導体装置の製造方法及び基板処理装置

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20140513