KR20200027430A - 기판 처리 장치 및 반도체 장치의 제조 방법 - Google Patents

기판 처리 장치 및 반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR20200027430A
KR20200027430A KR1020190106458A KR20190106458A KR20200027430A KR 20200027430 A KR20200027430 A KR 20200027430A KR 1020190106458 A KR1020190106458 A KR 1020190106458A KR 20190106458 A KR20190106458 A KR 20190106458A KR 20200027430 A KR20200027430 A KR 20200027430A
Authority
KR
South Korea
Prior art keywords
processing
gas
processing module
exhaust
processing chamber
Prior art date
Application number
KR1020190106458A
Other languages
English (en)
Other versions
KR102271228B1 (ko
Inventor
겐지 시라코
도모시 다니야마
Original Assignee
가부시키가이샤 코쿠사이 엘렉트릭
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 코쿠사이 엘렉트릭 filed Critical 가부시키가이샤 코쿠사이 엘렉트릭
Publication of KR20200027430A publication Critical patent/KR20200027430A/ko
Application granted granted Critical
Publication of KR102271228B1 publication Critical patent/KR102271228B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D1/00Pipe-line systems
    • F17D1/02Pipe-line systems for gases or vapours
    • F17D1/04Pipe-line systems for gases or vapours for distribution of gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements

Abstract

제1 및 제2 처리 모듈에 있어서, 동일한 막을 생성하는 경우, 제1 및 제2 처리 모듈 사이에 있어서, 생성된 막의 품질을 동등하게 하는 것이 가능한 기술을 제공하는 데 있다.
세로 방향으로 배치된 복수의 기판을 처리하는 제1 처리실을 갖는 제1 처리 모듈과, 상기 제1 처리실에 인접하여 배치되어, 세로 방향으로 배치된 복수의 기판을 처리하는 제2 처리실을 갖는 제2 처리 모듈과, 상기 제1 처리실 내를 배기하는 제1 배기계가 수납된 제1 배기 박스와, 상기 제2 처리실 내를 배기하는 제2 배기계가 수납된 제2 배기 박스와, 상기 제1 및 제2 처리실 내에 공급하는 복수의 처리 가스의 유로 또는 유량 중 적어도 한쪽을 제어하는 공통 공급 박스와, 상기 공통 공급 박스로부터의 가스관을, 상기 제1 처리실로, 연통 상태를 제어 가능하게 접속하는 제1 밸브군과, 상기 공통 공급 박스로부터의 가스관을, 상기 제2 처리실로, 연통 상태를 제어 가능하게 접속하는 제2 밸브군을 포함하고, 상기 제1 및 제2 처리 모듈에 있어서, 동일한 막을 생성시키기 위하여, 실질적으로 동일한 가스 공급 시퀀스를 반복하는 처리를, 시간을 어긋나게 하여 병행하여 행하고, 상기 어긋남 시간은, 상기 복수의 처리 가스 중 특정한 가스의 공급 타이밍이, 먼저 처리를 개시한 상기 제1 및 제2 처리 모듈의 한쪽의 가스 공급 시퀀스와 겹치지 않도록, 나중에 처리를 개시하는 상기 제1 및 제2 처리 모듈의 다른 쪽의 가스 공급 시퀀스를 늦추는 방법에 의해 결정되는 기술이 제공된다.

Description

기판 처리 장치 및 반도체 장치의 제조 방법{SUBSTRATE PROCESSING APPARATUS, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE}
본 개시는, 기판 처리 장치 및 반도체 장치의 제조 방법에 관한 것이다.
기판 처리 장치는, 세로 방향으로 배치된 복수의 기판을 처리하는 처리로를 갖는 처리 모듈을 구비한다. 이와 같은 종류의 기판 처리 장치에 있어서, 복수의 처리 모듈을 구비하는 기판 처리 장치가 제안되어 있다(일본 특허 공개 제2016-9724호 공보, 미국 특허 제6902624호 명세서).
일본 특허 공개 제2016-9724호 공보 미국 특허 제6902624호 명세서
제1 처리 모듈과 제2 처리 모듈을 포함하는 기판 처리 장치에 있어서, 각각의 처리 모듈에 의해 기판 위에 동일한 막을 생성한 경우, 복수의 처리 모듈 사이에 있어서 생성된 막의 품질이 상이한 경우가 있었다.
본 개시의 과제는, 제1 및 제2 처리 모듈에 있어서, 동일한 막을 생성하는 경우, 제1 및 제2 처리 모듈 사이에 있어서, 생성된 막의 품질을 동등하게 하는 것이 가능한 기술을 제공하는 데 있다.
그 밖의 과제와 신규 특징은, 본 명세서의 기술 및 첨부 도면으로부터 명백해질 것이다
본 개시 중 대표적인 것의 개요를 간단하게 설명하면 하기하는 바와 같다.
일 형태에 의하면, 세로 방향으로 배치된 복수의 기판을 처리하는 제1 처리실을 갖는 제1 처리 모듈과,
상기 제1 처리실에 인접하여 배치되어, 세로 방향으로 배치된 복수의 기판을 처리하는 제2 처리실을 갖는 제2 처리 모듈과,
상기 제1 처리실 내를 배기하는 제1 배기계가 수납된 제1 배기 박스와,
상기 제2 처리실 내를 배기하는 제2 배기계가 수납된 제2 배기 박스와,
상기 제1 및 제2 처리실 내에 공급하는 복수의 처리 가스의 유로 또는 유량 중 적어도 한쪽을 제어하는 공통 공급 박스와,
상기 공통 공급 박스로부터의 가스관을, 상기 제1 처리실로, 연통 상태를 제어 가능하게 접속하는 제1 밸브군과,
상기 공통 공급 박스로부터의 가스관을, 상기 제2 처리실로, 연통 상태를 제어 가능하게 접속하는 제2 밸브군을 포함하고,
상기 제1 및 제2 처리 모듈에 있어서, 동일한 막을 생성시키기 위하여, 실질적으로 동일한 가스 공급 시퀀스를 반복하는 처리를, 시간을 어긋나게 하여 병행하여 행하고,
상기 어긋남 시간은, 상기 복수의 처리 가스 중 특정한 가스의 공급 타이밍이, 먼저 처리를 개시한 상기 제1 및 제2 처리 모듈의 한쪽의 가스 공급 시퀀스와 겹치지 않도록, 나중에 처리를 개시하는 상기 제1 및 제2 처리 모듈의 다른 쪽의 가스 공급 시퀀스를 늦추는 방법에 의해 결정되는 기술이 제공된다.
본 개시에 의하면, 제1 및 제2 처리 모듈 사이에 있어서, 생성된 막의 품질을 동등하게 할 수 있다.
도 1은 실시 형태에서 적합하게 사용되는 기판 처리 장치의 일례를 개략적으로 나타내는 상면도이다.
도 2는 실시 형태에서 적합하게 사용되는 기판 처리 장치의 일례를 개략적으로 나타내는 종단면도이다.
도 3은 실시 형태에서 적합하게 사용되는 기판 처리 장치의 일례를 개략적으로 나타내는 종단면도이다.
도 4는 실시 형태에서 적합하게 사용되는 처리로의 일례를 개략적으로 나타내는 종단면도이다.
도 5는 실시 형태에서 적합하게 사용되는 처리 모듈의 일례를 개략적으로 나타내는 횡단면도이다.
도 6a는 컨트롤러에 의한 레시피의 제어예를 설명하는 도면이다.
도 6b는 컨트롤러에 의한 레시피의 제어예를 설명하는 도면이다.
도 6c는 컨트롤러에 의한 레시피의 제어예를 설명하는 도면이다.
도 7은 컨트롤러에 의한 레시피의 다른 제어예를 설명하는 도면이다.
도 8은 어긋남양을 결정하는 처리 플로우를 나타내는 도면이다.
도 9는 변형예 1에 관한 기판 처리 장치의 일례를 개략적으로 나타내는 상면도이다.
도 10은 변형예 2에 관한 기판 처리 장치의 일례를 개략적으로 나타내는 상면도이다.
도 11은 변형예 3에 관한 가스 공급계를 나타내는 도면이다.
이하, 도면을 참조하면서, 본 발명의 한정적이지 않은 예시의 실시 형태에 대해 설명한다. 전체 도면 중, 동일 또는 대응하는 구성에 대해서는, 동일 또는 대응하는 참조 부호를 부여하고, 중복되는 설명을 생략한다. 또한, 후술하는 수납실(9)측을 정면측(전방측), 후술하는 반송실(6A, 6B)측을 배면측(후방측)이라 한다. 또한, 후술하는 처리 모듈(3A, 3B)의 경계선(인접면)을 향하는 측을 내측, 경계선으로부터 이격되는 측을 외측이라 한다.
본 실시 형태에 있어서, 기판 처리 장치(2)는 반도체 장치(디바이스)의 제조 방법에 있어서의 제조 공정의 일 공정으로서 열처리 등의 기판 처리 공정을 실시하는 종형 기판 처리 장치(이하, 처리 장치라고 칭함)(2)로서 구성되어 있다.
도 1, 도 2에 도시된 바와 같이, 처리 장치(2)는 인접하는 두 처리 모듈(3A, 3B)을 포함하고 있다. 처리 모듈(3A)은 처리로(4A)와 반송실(6A)에 의해 구성된다. 처리 모듈(3B)은 처리로(4B)와 반송실(6B)에 의해 구성된다. 처리로(4A, 4B)의 하방에는, 반송실(6A, 6B)이 각각 배치되어 있다. 반송실(6A, 6B)의 정면측에 인접하여, 웨이퍼 W를 이동 탑재하는 이동 탑재기(7)를 포함하는 이동 탑재실(8)이 배치되어 있다. 이동 탑재실(8)의 정면측에는, 웨이퍼 W를 복수매 수납하는 포드(후프)(5)를 수납하는 수납실(9)이 연결되어 있다. 수납실(9)의 전체면에는 I/O포트(22)가 설치되어, I/O포트(22)를 통하여 처리 장치(2) 내외에 포드(5)가 반출입된다.
반송실(6A, 6B)과 이동 탑재실(8)의 경계벽(인접면)에는, 게이트 밸브(90A, 90B)가 각각 설치된다. 이동 탑재실(8) 내 및 반송실(6A, 6B) 내에는 압력 검지기가 각각 설치되어 있고, 이동 탑재실(8) 내의 압력은, 반송실(6A, 6B) 내의 압력보다도 낮아지도록 설정되어 있다. 또한, 이동 탑재실(8) 내 및 반송실(6A, 6B) 내에는 산소 농도 검지기가 각각 설치되어 있고, 이동 탑재실(8A) 내 및 반송실(6A, 6B) 내의 산소 농도는 대기 중에 있어서의 산소 농도보다도 낮게 유지되어 있다. 도 3에 도시된 바와 같이, 이동 탑재실(8)의 천장부에는, 이동 탑재실(8) 내에 클린 에어를 공급하는 클린 유닛(62C)이 설치되어 있고, 이동 탑재실(8) 내에 클린 에어로서, 예를 들어 불활성 가스를 순환시키도록 구성되어 있다. 이동 탑재실(8) 내를 불활성 가스에 의해 순환 퍼지함으로써, 이동 탑재실(8) 내를 청정한 분위기로 할 수 있다. 이와 같은 구성에 의해, 이동 탑재실(8) 내에 반송실(6A, 6B) 내의 파티클 등이 혼입되는 것을 억제할 수 있고, 이동 탑재실(8) 내 및 반송실(6A, 6B) 내에서 웨이퍼 W 위에 자연 산화막이 형성되는 것을 억제할 수 있다.
처리 모듈(3A) 및 처리 모듈(3B)은 동일한 구성을 포함하기 때문에, 이하에서는, 대표하여 처리 모듈(3A)에 대해서만 설명한다.
도 4에 도시된 바와 같이, 처리로(4A)는 원통 형상의 반응관(10A)과, 반응관(10A)의 외주에 설치된 가열 수단(가열 기구)으로서의 히터(12A)를 포함한다. 반응관은, 예를 들어 석영이나 SiC에 의해 형성된다. 반응관(10A)의 내부에는, 기판으로서의 웨이퍼 W를 처리하는 처리실(14A)이 형성된다. 반응관(10A)에는, 온도 검출기로서의 온도 검출부(16A)가 설치된다. 온도 검출부(16A)는 반응관(10A)의 내벽에 따라 세워 설치되어 있다.
기판 처리에 사용되는 가스는, 가스 공급계로서의 가스 공급 기구(34)에 의해 처리실(14A) 내에 공급된다. 가스 공급 기구(34)가 공급하는 가스는, 성막될 막의 종류에 따라 바꿀 수 있다. 여기에서는, 가스 공급 기구(34)는 원료 가스 공급부, 반응 가스 공급부 및 불활성 가스 공급부를 포함한다. 가스 공급 기구(34)는 후술하는 공급 박스(72)에 수납되어 있다. 또한, 공급 박스(72)는 처리 모듈(3A, 3B)에 대해 공통으로 마련되므로, 공통 공급 박스로 간주된다.
제1 가스 공급부인 원료 가스 공급부는, 가스 공급관(36a)을 포함하고, 가스 공급관(36a)에는, 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(38a) 및 다이어프렘 밸브 등의 개폐 밸브인 밸브(41a, 40a)가 마련되어 있다. 가스 공급관(36a)은 매니폴드(18)의 측벽을 관통하는 노즐(44a)에 접속된다. 노즐(44a)은 반응관(10A) 내에 상하 방향을 따라서 세워 설치되고, 보트(26)에 보유 지지되는 웨이퍼 W를 향하여 개구되는 복수의 공급 구멍이 형성되어 있다. 노즐(44a)의 공급 구멍을 통하여 웨이퍼 W에 대해 원료 가스가 공급된다.
이하, 마찬가지의 구성에 의해, 제2 가스 공급부인 반응 가스 공급부로부터는, 공급관(36b), MFC(38b), 밸브(41b), 밸브(40b) 및 노즐(44b)을 통하여, 반응 가스가 웨이퍼 W에 대해 공급된다. 불활성 가스 공급부로부터는, 공급관(36c, 36d), MFC(38c, 38d), 밸브(41c, 41d), 밸브(40c, 40d) 및 노즐(44a, 44b)을 통하여, 웨이퍼 W에 대해 불활성 가스가 공급된다. 노즐(44b)은 반응관(10A) 내에 상하 방향을 따라서 세워 설치되고, 보트(26)에 보유 지지되는 웨이퍼 W를 향하여 개구되는 복수의 공급 구멍이 형성되어 있다. 노즐(44b)의 공급 구멍을 통하여 웨이퍼 W에 대해 원료 가스가 공급된다.
또한, 가스 공급 기구(34)에는, 반응 가스, 원료 가스 또는 기판 처리에 직접 기여하지 않는 불활성 가스나 클리닝 가스를 웨이퍼 W에 대해 공급하기 위해서, 제3 가스 공급부도 마련되어 있다. 제3 가스 공급부로부터는, 공급관(36e), MFC(38e), 밸브(41e), 밸브(40e) 및 노즐(44c)을 통하여, 반응 가스가 웨이퍼 W에 대해 공급된다. 불활성 가스 공급부로부터는, 공급관(36f), MFC(38f), 밸브(41f), 밸브(40f) 및 노즐(44c)을 통하여, 웨이퍼 W에 대해 불활성 가스 또는 클리닝 가스가 공급된다. 노즐(44c)은 반응관(10A) 내에 상하 방향을 따라서 세워 설치되고, 보트(26)에 보유 지지되는 웨이퍼 W를 향하여 개구되는 복수의 공급 구멍이 형성되어 있다. 노즐(44c)의 공급 구멍을 통하여 웨이퍼 W에 대해 원료 가스가 공급된다.
반응관(10A) 내에는, 세개의 노즐(44a, 44b, 44c)이 마련되어 있고, 반응관(10A) 내에, 3종류의 원료 가스를 소정의 순서로, 또는 소정의 주기로 공급하는 것이 가능하게 구성되어 있다. 반응관(10A) 내의 노즐(44a, 44b, 44c)에 접속되는 밸브(40a, 40b, 40c, 40d, 40e, 40f)는, 최종 밸브로 되어, 후술되는 최종 밸브 설치부(75A)에 마련되어 있다. 마찬가지로, 반응관(10B) 내에는, 세개의 노즐(44a, 44b, 44c)이 마련되어 있고, 반응관(10B) 내에, 3종류의 원료 가스를 소정의 순서로, 또는 소정의 주기로 공급하는 것이 가능하게 구성되어 있다. 반응관(10B) 내의 노즐(44a, 44b, 44c)에 접속되는 밸브(40a, 40b, 40c, 40d, 40e, 40f)는 최종 밸브로 되어, 후술되는 최종 밸브 설치부(75B)에 마련되어 있다.
밸브(41a 내지 41f)의 출력측의 복수의 가스관(35)은 밸브(41a 내지 41f)와 밸브(40a 내지 40f) 사이에서, 반응관(10A)의 밸브(40a, 40b, 40c, 40d, 40e, 40f) 각각에 접속되는 복수의 가스 분배관(35A)과, 반응관(10B)의 밸브(40a, 40b, 40c, 40d, 40e, 40f)의 각각에 접속되는 복수의 가스 분배관(35B)에 분기된다. 복수의 가스관(35)은 반응관(10A, 10B)에 대한 공통의 가스관으로 간주할 수 있다.
매니폴드(18A)에는, 배기관(46A)이 장착되어 있다. 배기관(46A)에는, 처리실(14A) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(48A) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(50A)를 통하여, 진공 배기 장치로서의 진공 펌프(52A)가 접속되어 있다. 이와 같은 구성에 의해, 처리실(14A) 내의 압력을 처리에 따른 처리 압력으로 할 수 있다. 주로, 배기관(46A), APC 밸브(50A), 압력 센서(48A)에 의해, 배기계 A가 구성된다. 배기계 A는 후술하는 배기 박스(74A)에 수납되어 있다. 진공 펌프(52A)는 처리 모듈(3A와 3B)에 공통적으로 하나 설치되어도 된다.
처리실(14A)은 복수매, 예를 들어 25매 내지 150매의 웨이퍼 W를 수직으로 선반형으로 지지하는 기판 보유 지지구로서의 보트(26A)를 내부에 수납한다. 보트(26A)는 덮개부(22A) 및 단열부(24A)를 관통하는 회전축(28A)에 의해, 단열부(24A)의 상방에 지지된다. 회전축(28A)은 덮개부(22A)의 하방에 설치된 회전 기구(30A)에 접속되어 있고, 회전축(28A)은 반응관(10A)의 내부를 기밀하게 시일한 상태에서 회전 가능하게 구성된다. 덮개부(22A)는 승강 기구로서의 보트 엘리베이터(32A)에 의해 상하 방향으로 구동된다. 이에 의해, 보트(26A) 및 덮개부(22A)가 일체적으로 승강되어, 반응관(10A)에 대해 보트(26A)가 반출입된다.
보트(26A)에 대한 웨이퍼 W의 이동 탑재는 반송실(6A)에서 행하여진다. 도 1에 도시된 바와 같이, 반송실(6A) 내의 일측면(반송실(6A)의 외측 측면, 반송실(6B)에 면하는 측면과 반대측의 측면)에는, 클린 유닛(60A)이 설치되어 있고, 반송실(6A) 내에 클린 에어(예를 들어, 불활성 가스)를 순환시키도록 구성되어 있다. 반송실(6A) 내에 공급된 불활성 가스는, 보트(26A)를 사이에 두고 클린 유닛(60A)과 대면하는 측면(반송실(6B)에 면하는 측면)에 설치된 배기부(62A)에 의해 반송실(6A) 내로부터 배기되어, 클린 유닛(60A)으로부터 반송실(6A) 내에 재공급된다(순환 퍼지). 반송실(6A) 내의 압력은 이동 탑재실(8) 내의 압력보다도 낮아지도록 설정되어 있다. 또한, 반송실(6A) 내의 산소 농도는, 대기 중에 있어서의 산소 농도보다도 낮아지도록 설정되어 있다. 이와 같은 구성에 의해, 웨이퍼 W의 반송 작업 중에 웨이퍼 W 위에 자연 산화막이 형성되는 것을 억제할 수 있다.
회전 기구(30A), 보트 엘리베이터(32A), 가스 공급 기구(34A)의 MFC(38a 내지 38f) 및 밸브(41a 내지 41f, 40a 내지 40f), APC 밸브(50A)에는, 이들을 제어하는 컨트롤러(100)가 접속된다. 컨트롤러(100)는 예를 들어 CPU를 포함하는 마이크로 프로세서(컴퓨터)를 포함하고, 처리 장치(2)의 동작을 제어하도록 구성된다. 컨트롤러(100)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(102)가 접속되어 있다. 컨트롤러(100)는 처리 모듈(3A)과 처리 모듈(3B) 각각에 하나씩 설치되어도 되고, 공통적으로 하나 설치되어도 된다.
기억부(104)는 컨트롤러(100)에 내장된 기억 장치(하드 디스크나 플래시 메모리)여도 되고, 가반성 외부 기록 장치(자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)여도 된다. 또한, 컴퓨터에 대한 프로그램의 제공은, 인터넷이나 전용 회선 등의 통신 수단을 사용하여 행해도 된다. 프로그램은, 필요에 따라, 입출력 장치(102)로부터의 지시 등에 의해 기억부(104)로부터 판독되어, 판독된 레시피에 따른 처리를 컨트롤러(100)가 실행함으로써, 처리 장치(2)는 컨트롤러(100)의 제어 하에, 원하는 처리를 실행한다. 컨트롤러(100)는 컨트롤러 박스(76(76A, 76B))에 수납된다. 컨트롤러(100)가 처리 모듈(3A)과 처리 모듈(3B) 각각에 하나씩 설치되는 경우, 컨트롤러 박스(76A)에, 처리 모듈(3A)을 제어하는 컨트롤러(100(A))가 설치되어, 컨트롤러 박스(76B)에, 처리 모듈(3B)을 제어하는 컨트롤러(100(B))가 설치된다.
다음으로, 상술한 처리 장치(2)를 사용하여, 기판 위에 막을 형성하는 처리(성막 처리)에 대해 설명한다. 여기에서는, 웨이퍼 W에 대해, 원료 가스로서의 제1 처리 가스(원료 가스)로서 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스와, 반응 가스로서의 제2 처리 가스(반응 가스)로서 암모니아(NH3) 가스를 공급함으로써, 웨이퍼 W 위에 실리콘 질화(SiN)막을 형성하는 예에 대해 설명한다. 또한, 이하의 설명에 있어서, 처리 장치(2)를 구성하는 각 부의 동작은 컨트롤러(100)에 의해 제어된다.
본 실시 형태에서의 성막 처리에서는, 처리실(14A) 내의 웨이퍼 W에 대해 HCDS 가스를 공급하는 공정과, 처리실(14A) 내에서 HCDS 가스(잔류 가스)를 제거하는 공정과, 처리실(14A) 내의 웨이퍼 W에 대해 NH3 가스를 공급하는 공정과, 처리실(14A) 내에서 NH3 가스(잔류 가스)를 제거하는 공정을 소정 횟수(1회 이상) 반복함으로써, 웨이퍼 W 위에 SiN막을 형성한다. 본 명세서에서는, 이 성막 시퀀스를, 편의상, 이하와 같이 표기한다.
(HCDS→NH)×n ⇒ SiN
(웨이퍼 차지 및 보트 로드)
게이트 밸브(90A)를 개방하고, 보트(26A)에 대해 웨이퍼 W를 반송한다. 복수매의 웨이퍼 W가 보트(26A)에 장전(웨이퍼 차지)되면, 게이트 밸브(90A)가 폐쇄된다. 보트(26A)는 보트 엘리베이터(32A)에 의해 처리실(14) 내에 반입(보트 로드)되어, 반응관(10A)의 하부 개구는 덮개부(22A)에 의해 기밀하게 폐색(시일)된 상태로 된다.
(압력 조정 및 온도 조정)
처리실(14A) 내가 소정의 압력(진공도)이 되도록, 진공 펌프(52A)에 의해 진공 배기(감압 배기)된다. 처리실(14A) 내의 압력은, 압력 센서(48A)로 측정되어, 이 측정된 압력 정보에 기초하여 APC 밸브(50A)가 피드백 제어된다. 또한, 처리실(14A) 내의 웨이퍼 W가 소정의 온도로 되도록, 히터(12A)에 의해 가열된다. 이 때, 처리실(14A)이 소정의 온도 분포가 되도록, 온도 검출부(16A)가 검출한 온도 정보에 기초하여 히터(12A)에 대한 통전 상태가 피드백 제어된다. 또한, 회전 기구(30A)에 의한 보트(26A) 및 웨이퍼 W의 회전을 개시한다.
(성막 처리)
[원료 가스 공급 공정]
처리실(14A) 내의 온도가 미리 설정된 처리 온도로 안정되면, 처리실(14A) 내의 웨이퍼 W에 대해 HCDS 가스를 공급한다. HCDS 가스는, MFC(38a)에서 원하는 유량이 되도록 제어되어, 가스 공급관(36a), 밸브(41a, 40a) 및 노즐(44a)을 통하여 처리실(14A) 내에 공급된다. 밸브(40a)는, 처리 모듈(3A 및/또는 3B)의 밸브(41a)가 개방될 때, 개방된다. 밸브(40a)는 인터로크 기반으로 동작하고, 또한 밸브(40a)는 밸브(41a)보다 더 느리게 더 긴 수명(life time) 동안 동작할 수 있다. 이것은 공기 구동식 밸브의 기류를 제한하거나 솔레노이드 구동식 밸브에 대한 인가 전압을 제한함으로써 성취된다. 예를 들어, 밸브(41a)의 폐쇄로부터 개방으로의 전이 시간은 5ms로 설정될 수 있는 한편, 밸브(40a)의 경우는 3ms이다. 다른 밸브들(41b 및 41f)에도 동일하게 적용된다.
[원료 가스 배기 공정]
다음에, HCDS 가스 가스의 공급을 정지하고, 진공 펌프(52A)에 의해 처리실(14A) 내를 진공 배기한다. 이 때, 불활성 가스 공급부로부터 불활성 가스로서 N2 가스를 처리실(14A) 내에 공급해도 된다(불활성 가스 퍼지).
[반응 가스 공급 공정]
다음으로, 처리실(14A) 내의 웨이퍼 W에 대해 NH3 가스를 공급한다. NH3 가스는, MFC(38b)에서 원하는 유량이 되게 제어되어, 가스 공급관(36b), 밸브(41b, 40b) 및 노즐(44b)을 통하여 처리실(14A) 내에 공급된다.
[반응 가스 배기 공정]
다음으로, NH3 가스의 공급을 정지하고, 진공 펌프(52A)에 의해 처리실(14A) 내를 진공 배기한다. 이 때, 불활성 가스 공급부로부터 N2 가스를 처리실(14A) 내에 공급해도 된다(불활성 가스 퍼지). 상술한 네 공정을 행하는 사이클을 소정 횟수(1회 이상) 행함으로써, 웨이퍼 W 위에 소정 조성 및 소정 막 두께의 SiN막을 형성할 수 있다.
(보트 언로드 및 웨이퍼 디스차지)
소정 막 두께의 막을 형성한 후, 불활성 가스 공급부로부터 N2 가스가 공급되어, 처리실(14A) 내가 N2 가스로 치환됨과 함께, 처리실(14A)의 압력이 상압으로 복귀된다. 그 후, 보트 엘리베이터(32A)에 의해 덮개부(22A)가 강하되어, 보트(26A)가 반응관(10A)으로부터 반출(보트 언로드)된다. 그 후, 처리가 끝난 웨이퍼 W는 보트(26A)로부터 취출된다(웨이퍼 디스차지).
그 후, 웨이퍼 W는 포드(5)에 수납되어 처리 장치(2) 외로 반출되어도 되고, 처리로(4B)로 반송되어, 예를 들어 어닐 등의 기판 처리가 연속하여 행하여져도 된다. 처리로(4A)에서의 웨이퍼 W의 처리 후에 연속하여 처리로(4B)에서 웨이퍼 W의 처리를 행하는 경우, 게이트 밸브(90A 및 90B)를 개방으로 하고, 보트(26A)로부터 보트(26B)로 웨이퍼 W가 직접 반송된다. 그 후의 처리로(4B) 내로의 웨이퍼 W의 반출입은, 상술한 처리로(4A)에 의한 기판 처리와 마찬가지의 수순으로 행하여진다. 또한, 처리로(4B) 내에서의 기판 처리는, 예를 들어 상술한 처리로(4A)에 의한 기판 처리와 마찬가지의 수순으로 행하여진다.
웨이퍼 W에 SiN막을 형성할 때의 처리 조건으로서는, 예를 들어 하기가 예시된다.
처리 온도(웨이퍼 온도): 100℃ 내지 800℃,
처리 압력(처리실 내 압력) 5Pa 내지 4000Pa,
HCDS 가스 공급 유량: 1sccm 내지 2000sccm,
NH3 가스 공급 유량: 100sccm 내지 30000sccm,
N2 가스 공급 유량: 1sccm 내지 50000sccm,
각각의 처리 조건을, 각각의 범위 내의 값으로 설정함으로써, 성막 처리를 적정하게 진행시키는 것이 가능해진다.
다음으로, 처리 장치(2)의 배면 구성에 대해 설명한다.
예를 들어, 보트(26)가 파손된 경우에는, 보트(26)를 교환할 필요가 있다. 또한, 반응관(10)이 파손된 경우나, 반응관(10)의 클리닝이 필요한 경우에는, 반응관(10)을 분리할 필요가 있다. 이와 같이, 반송실(6)이나 처리로(4)에 있어서의 메인터넌스를 실시하는 경우에는, 처리 장치(2)의 배면측의 메인터넌스 에어리어 A, B로부터 메인터넌스를 행한다.
도 1에 도시된 바와 같이, 반송실(6A, 6B)의 배면측에는, 메인터넌스구(78A, 78B)가 각각 형성되어 있다. 메인터넌스구(78A)는 반송실(6A)의 반송실(6B)측에 형성되고, 메인터넌스구(78B)는 반송실(6B)의 반송실(6A) 측에 형성된다. 메인터넌스구(78A, 78B)는 메인터넌스 도어(80A, 80B)에 의해 개폐된다. 메인터넌스 도어(80A, 80B)는 힌지(82A, 82B)를 기본축으로 하여 회동 가능하게 구성된다. 힌지(82A)는 반송실(6A)의 반송실(6B)측에 설치되어, 힌지(82B)는 반송실(6B)의 반송실(6A) 측에 설치된다. 즉, 힌지(82A, 82B)는 반송실(6A, 6B)의 배면측의 인접면에 위치하는 내측 모퉁이부 부근에 서로 인접하도록 설치된다. 메인터넌스 에어리어는 처리 모듈(3A) 배면에 있어서의 처리 모듈(3B)측과 처리 모듈(3B) 배면에 있어서의 처리 모듈(3A) 측에 형성되어 있다.
상상선으로 나타낸 바와 같이, 메인터넌스 도어(80A, 80B)가 힌지(82A, 82B)를 중심으로 하여 반송실(6A, 6B)의 배면측 후방으로 수평 회동됨으로써, 배면 메인터넌스구(78A, 78B)가 개방된다. 메인터넌스 도어(80A)는 반송실(6A)을 향하여 좌로 펼쳐 180°까지 개방 가능하게 구성된다. 메인터넌스 도어(80B)는 반송실(6B)을 향하여 우로 펼쳐 180°까지 개방 가능하게 구성된다. 즉, 반송실(6A)을 향하여, 메인터넌스 도어(80A)는 시계 방향으로 회동하고, 메인터넌스 도어(80B)는 반시계 방향으로 회동한다. 환언하면, 메인터넌스 도어(80A, 80B)는, 서로 반대 방향으로 회동된다. 메인터넌스 도어(80A, 80B)는 분리 가능하게 구성되어 있어, 분리하여 메인터넌스를 행해도 된다.
반송실(6A, 6B)의 배면 근방에는, 유틸리티계(70)가 설치되어 있다. 유틸리티계(70)는 메인터넌스 에어리어 A, B 사이에 배치된다. 유틸리티계(70)의 메인터넌스를 행할 때는, 메인터넌스 에어리어 A, B로부터 행한다.
유틸리티계(70)는 최종 밸브 설치부(75A, 75B), 배기 박스(74A, 74B), 공급 박스(72), 컨트롤러 박스(76A, 76B)를 포함한다.
유틸리티계(70)는 하우징측(반송실(6A, 6B)측)으로부터 순서대로, 배기 박스(74A, 74B), 공급 박스(72), 컨트롤러 박스(76A, 76B)로 구성되어 있다. 최종 밸브 설치부(75A, 75B)는 배기 박스(74A, 74B)의 상방에 마련된다. 유틸리티계(70)의 각 박스의 메인터넌스구는 각각 메인터넌스 에어리어 A, B측에 형성되어 있다. 공급 박스(72)는 배기 박스(74A)의 반송실(6A)에 인접하는 측과 반대측에, 공급 박스(72B)는 배기 박스(74B)의 반송실(6B)에 인접하는 측에 인접하여 배치된다.
도 3에 도시된 바와 같이, 처리 모듈(3A)에 있어서, 가스 공급 기구(34)의 파이널 밸브(가스 공급계의 최하단에 위치하는 밸브(40a, 40b, 40c)가 설치되는 최종 밸브 설치부(75A)는 배기 박스(74A)의 상방에 배치되어 있다. 바람직하게는, 배기 박스(74A)의 정확히 위(바로 위)에 배치되어 있다. 이와 같은 구성에 의해, 공급 박스(72)를 하우징측으로부터 이격된 곳에 설치해도, 파이널 밸브로부터 처리실 내로의 배관 길이를 짧게 할 수 있기 때문에, 성막의 품질을 향상시킬 수 있다. 도 3에는 도시되지 않았지만, 밸브(40a, 40b, 40c) 외에도, 밸브(40d, 40e, 40f)도, 최종 밸브 설치부(75A)에 배치된다.
또한, 도시되지 않았지만, 처리 모듈(3B)에 있어서, 가스 공급 기구(34)의 파이널 밸브(가스 공급계의 최하단에 위치하는 밸브(40a, 40b, 40c)가 설치되는 최종 밸브 설치부(75B)는 배기 박스(74B)의 상방에 배치되어 있다. 바람직하게는, 배기 박스(74B)의 정확히 위(바로 위)에 배치되어 있다. 이와 같은 구성에 의해, 공급 박스(72)를 하우징측으로서 이격된 곳에 설치해도, 파이널 밸브로부터 처리실 내에의 배관 길이를 짧게 할 수 있기 때문에, 성막의 품질을 향상시킬 수 있다. 밸브(40a, 40b, 40c) 외에도, 밸브(40d, 40e, 40f)도, 최종 밸브 설치부(75B)에 배치된다.
도 5에 도시된 바와 같이, 처리 모듈(3A, 3B) 및 유틸리티계(70)의 각 구성은, 처리 모듈(3A, 3B)의 인접면 S1에 대해 면 대칭으로 배치되어 있다. 또한, 반응관(10A, 10B)도, 처리 모듈(3A, 3B)의 인접면 S1에 대해 면 대칭으로 배치되어 있다. 이에 의해, 처리 모듈(3A, 3B)로부터 배기 박스(74A, 74B)까지의 배기관(46A, 46B)의 배관 길이가, 처리 모듈(3A, 3B)에서 대략 동일한 길이가 되게 배관이 배치되어 있다. 또한, 최종 밸브 설치부(75A, 75B)에 설치된 파이널 밸브(40A, 40B)로부터 노즐(44A, 44B)까지의 배관 길이가, 처리 모듈(3A, 3B)에서 대략 동일한 길이가 되게 배관(가스 파이프)이 배치되어 있다.
도 5에 있어서, 파이널 밸브(40A)는 처리 모듈(3A)의 밸브(40a 내지 40f)를 나타내는 것이며, 파이널 밸브(40B)는 처리 모듈(3B)의 밸브(40a 내지 40f)를 나타내는 것이다. 또한, 노즐(44A)은 처리 모듈(3A)의 노즐(44a 내지 44c)을 나타내는 것이며, 노즐(44B)은 처리 모듈(3B)의 노즐(44a 내지 44c)을 나타내는 것이다. 예를 들어, 배관(10Aa)이 처리 모듈(3A)의 밸브(40a)와 처리 모듈(3A)의 노즐(44a) 사이의 배관에 대응하여, 배관(10Ba)이 처리 모듈(3B)의 밸브(40a)와 처리 모듈(3B)의 노즐(44a) 사이의 배관에 대응하는 경우, 배관(10Aa, 10Ba)은, 배관 길이가 대략 동일한 길이이다. 또한, 배관(10Ab)이 처리 모듈(3A)의 밸브(40b)와 처리 모듈(3A)의 노즐(44b) 사이의 배관에 대응하여, 배관(10Bb)이 처리 모듈(3B)의 밸브(40b)와 처리 모듈(3B)의 노즐(44b) 사이의 배관에 대응하는 경우, 배관(10Ab, 10Bb)은, 배관 길이가 대략 동일한 길이이다. 이에 의해, 공급 박스(72)로부터 같은 가스를, 처리 모듈(3A)의 밸브(40a) 및 배관(10Aa)을 통하여 처리 모듈(3A)의 노즐(44a)에 공급하는 경우와, 처리 모듈(3B)의 밸브(40a) 및 배관(10Ba)을 통하여 처리 모듈(3B)의 노즐(44a)에 공급하는 경우에, 동일한 도달 시간으로 할 수 있다. 따라서, 컨트롤러(100)에 의한 처리 모듈(3A, 3B)의 레시피 관리가 용이화할 수 있다. 또한, 도 5 중의 화살표로 나타낸 바와 같이, 웨이퍼 W의 회전 방향도 처리로(4A, 4B)에 있어서 서로 반대 방향으로 되도록 구성된다.
또한, 반응관(10A, 10B)의 배치 형태는, 도 5에 한정되지 않는다. 노즐(44A, 44B) 각각이 최종 밸브 설치부(75A, 75B)에 대응하도록 설치해도 된다. 또한, 배기 박스(74A, 74B)까지의 배기관(46A, 46B)이 최단 길이가 되도록, 반응관(10A, 10B)을 배치해도 된다. 단, 반응관(10A, 10B)은 처리 모듈(3A, 3B)의 인접면 S1에 대해 면 대칭으로 배치하는 것이 좋다.
처리 모듈(3A, 3B)에 대해, 공통된 공급 박스(72)를 마련하고, 공급 박스(72)로부터 최종 밸브(40A, 40B)까지의 가스관을 공유하므로, 기판 처리 장치의 공간 절약화가 가능하다.
또한, 기판 처리 장치(2)가 필요로 하는 풋 프린트가 저하되고, 필요한 생산량에 비해, 클린 룸의 사용 면적을 억제하는 것이 가능해져서, 경제성에 있어서 매우 유리하다.
도 6a, 도 6b, 도 6c는 컨트롤러에 의한 레시피의 제어예를 설명하는 도면이다. 레시피는, 반응 가스나 원료 가스 등의 각 프로세스 가스의 공급량, 목표 진공도(또는 배기 속도), 처리실 온도 등을, 시계열로 기술한 것이며, 일정한 주기로 반복되는 패턴을 포함할 수 있다. 레시피라고 하는 용어는, 협의로는 이 반복 패턴의 1사이클을 나타내는 경우가 있다. 제작된 레시피는, 컨트롤러(100)가 실행됨으로써, 처리 장치(2)는 컨트롤러(100)의 제어 하에, 원하는 처리를 실행한다. 처리 장치(2)가 처리 모듈(3A, 3B)을 포함한 경우, 레시피 개시의 타이밍에 따라서는 동일 가스를, 처리 모듈(3A, 3B) 간에서 사용할 가능성이 있다.
본 실시 형태에서는, 레시피를 관리하는 컨트롤러(100)는 동일한 프로세스 가스를, 처리 모듈(3A, 3B)의 반응관(10A, 10B)에, 동시에 흘릴 수 없도록, 상호간 감시의 기능을 갖고 있다. 감시해야 할 대상의 가스 및 밸브를 컨트롤러 내의 파라미터 또는 레시피에 등록함으로써, 컨트롤러(100)는 등록된 가스 및 밸브를 바탕으로, 처리 모듈(3A, 3B)에 대한 레시피의 상호 감시를 행하고, 동일한 프로세스 가스를 처리 모듈(3A, 3B)에 동시에 흘리지 않도록 레시피의 개시 시간 등을 최적화하는 제어를 실시한다. 레시피의 개시 시간 등의 최적화는, 반응관(10A, 10B) 내를 진공으로 하기 위한 진공 펌프(52A)의 진공화 시간 또는 반응관(10A, 10B) 내를 N2 가스로 퍼지하는 퍼지 시간 등을 사용하여, 조정할 수 있다. 상호 감시 및 제어에는, 밸브 레벨인 것과, 레시피 레벨인 것이 포함된다.
도 6a에는, 처리 모듈(3A, 3B) 각각에서 실행되는 레시피 RC1, RC2의 일례가 도시된다. 레시피 RC1, RC2는, 동일한 레시피이며, 세 처리 가스 A, B, C를 사용한다. 반응관(10A, 10B) 내의 각각의 기판 위에 동일한 막을 생성시키기 위하여, 실질적으로 동일한 가스 공급 시퀀스의 레시피 RC1, RC2가 복수 사이클 반복 실행된다. 레시피 RC1, RC2의 각각은, 실질적으로 동일한 가스 공급 시퀀스인 프로세스 스텝 PS1 내지 PS9를 포함한다. 프로세스 스텝 PS1은, 반응관(10A 또는 10B)내에, 처리 가스 A를 공급하는 처리(A)이다. 프로세스 스텝 PS4는, 반응관(10A 또는 10B) 내에, 처리 가스 B를 공급하는 처리(B)이다. 프로세스 스텝 PS7은, 반응관(10A 또는 10B) 내에, 처리 가스 C를 공급하는 처리(C)이다. 각각의 프로세스 스텝 PS1, PS4, PS7의 후에는, 프로세스 스텝 PS2, PS5, PS8이 실행된다. 프로세스 스텝 PS2, PS5, PS8은, 목표 진공도를 비교적 저압(예를 들어, 10 내지 100Pa)으로 설정하고, 반응관(10A 또는 10B) 내를 진공화하는 처리(V)이다. 각각의 프로세스 스텝 PS2, PS5, PS8의 후에는, 프로세스 스텝 PS3, PS6, PS9가 실행된다. 프로세스 스텝 PS3, PS6, PS9는, 반응관(10A, 10B) 내에 퍼지 가스(N2 가스)를 흘리면서, 반응관(10A, 10B) 내를 진공화하는 처리(P)이다.
도 6a에 나타내는 바와 같이, 레시피 RC1, RC2에 있어서, 레시피 RC1, RC2가, 시간 T에 대해, 단시간의 차로 개시된 경우, 동시에 동일한 처리 가스 A, B, C가 사용되는 것이 상정된다. 즉, 처리 모듈(3A)의 프로세스 스텝 PS1, PS4, PS7과 처리 모듈(3B)의 프로세스 스텝 PS1, PS4, PS7이 동시에 실행되는 경우가 있다. 그러나, 그 처리 가스 A, B, C에 대응하는 매스 플로우 컨트롤러(MFC)는 하나밖에 없다. 도 4에 도시된 바와 같이, 공급 박스(72)에 수납된 가스 공급 기구(34)에 있어서, 예를 들어 처리 가스 A에 대한 매스 플로우 컨트롤러는 MFC(38a) 하나이며, 처리 가스 B에 대한 매스 플로우 컨트롤러는 MFC(38b) 하나이며, 처리 가스 C에 대한 매스 플로우 컨트롤러는 MFC(38c) 하나이다. 이 때문에, 처리 모듈(3A, 3B)에 있어서, 동시에 동일한 처리 가스(A, B 또는 C)를 사용한 경우, 각 처리 모듈(3A, 3B)에의 유량을, 종래와 동등한 정밀도로 제어할 수 없고, 처리 모듈(3A, 3B) 간에서 레시피가 상이해 버리게 된다. 처리 모듈(3A, 3B) 간에서 레시피가 상이해 버리게 되면, 처리 모듈(3A, 3B)로 생성되는 막의 품질에 영향을 미친다. 이 때문에, 처리 모듈(3A, 3B)에 있어서, 동시에 동일한 처리 가스(A, B 또는 C)를 사용하는 것은, 피하는 것이 바람직하다.
밸브 레벨의 제어에서는, 처리 모듈(3A, 3B)의 컨트롤러(100)는 처리 모듈(3A)의 밸브(40a 내지 40c)의 개폐 상태와 처리 모듈(3B)의 밸브(40a 내지 40c)의 개폐 상태를, 처리 모듈(3A, 3B)의 사이에서 서로 감시한다. 이 밸브 레벨의 제어는 인터로크라고도 불린다.
예를 들어, 처리 모듈(3A)의 컨트롤러(100)는 상대측의 처리 모듈(3B)이 대응하는(즉 동일한 분배 배관으로 접속되어 있음) 파이널 밸브가 폐쇄되어 있으면, 레시피대로 자기의 처리 모듈(3A)의 파이널 밸브를 개방한다. 한편, 상대측의 처리 모듈(3B)이 대응하는(즉 동일한 분배 배관으로 접속되어 있음) 파이널 밸브가 개방되어 있으면, 그 파이널 밸브가 폐쇄할 때까지, 자기의 처리 모듈(3A)의 레시피를 중단하도록 제어한다. 또한, 처리 모듈(3B)의 컨트롤러(100)는 상대측의 처리 모듈(3A)이 대응하는(즉 동일한 분배 배관으로 접속되어 있음) 파이널 밸브가 폐쇄되어 있으면, 레시피대로 자기의 처리 모듈(3B)의 파이널 밸브를 개방한다. 한편, 상대측의 처리 모듈(3A)의 대응하는(즉 동일한 분배 배관으로 접속되어 있음) 파이널 밸브가 개방되어 있으면, 그 파이널 밸브가 폐쇄될 때까지, 자기의 처리 모듈(3B)의 레시피를 중단하도록 제어한다.
한편, 프로세스 레시피 레벨의 제어에 있어서, 컨트롤러(100)는 레시피(RC1, RC2) 개시 시, 보트 로드 전 등의 각 타이밍에 레시피(RC1, RC2)의 진척 상태를 감시하고, 사용되는 가스 A, B, C가 흐르는 시퀀스의 타이밍을 예측한다. 처리 모듈(3A, 3B)에 있어서, 동일한 처리 가스(A, B 또는 C)가 동일한 타이밍에 흐르지 않는 경우, 레시피(RC1, RC2)는 그대로 진행한다. 한편, 처리 모듈(3A, 3B)에 있어서, 동일한 처리 가스(A, B 또는 C)가 동일한 타이밍에 흐를 것으로 예측된 경우, 컨트롤러(100)는 동시에 동일한 프로세스 가스가 흐르지 않는 시퀀스를 산출하고, 사용되는 가스의 공급하는 타이밍을, 시간적으로 어긋나게 하는 제어를 실시한다.
즉, 처리 모듈(3A, 3B)에 있어서, 동일한 막을 생성시키기 위하여, 실질적으로 동일한 가스 공급 시퀀스를 반복하는 처리를, 시간을 어긋나게 하여 병행하여 행한다. 이 어긋남 시간은, 복수의 처리 가스(A, B, C) 중의 특정한 가스의 공급 타이밍이, 먼저 처리를 개시한 처리 모듈(3A, 3B)의 한쪽의 가스 공급 시퀀스와 겹치지 않도록, 나중에 처리를 개시하는 처리 모듈(3A, 3B)의 다른 쪽의 가스 공급 시퀀스를 늦추는 방법에 의해 결정된다.
예를 들어, 도 6a에 나타내는 바와 같이, 컨트롤러(100)가 레시피(RC1, RC2) 개시 시, 사용되는 처리 가스 A, B, C가 흐르는 가스 공급 시퀀스의 타이밍을 예측했다고 하자. 즉, 동일한 처리 가스(A, B 또는 C)가 동일한 타이밍에 처리 모듈(3A, 3B)에 흐르는 것이 예측되었다고 하자. 이 경우, 컨트롤러(100)는 동시에 동일한 프로세스 가스가 흐르지 않는 시퀀스를 산출하고, 사용되는 가스의 공급하는 타이밍을, 시간적으로 어긋나게 하는 제어를 실시한다. 즉, 컨트롤러(100)는 레시피(RC1, RC2) 개시 전에, 처리 모듈(3A, 3B)에 있어서, 동일한 처리 가스(A, B, C)가 동일한 타이밍에 흐르지 않도록 가스 공급 시퀀스의 타이밍이 시간적으로 어긋나게 된 레시피 RC2를 생성한다. 도 6b에 도시되는 바와 같이, 처리 모듈(3B)로 실시되는 레시피 RC2에 있어서, 프로세스 스텝 PSA1이 프로세스 스텝 PS1 앞에, 컨트롤러(100)에 의해 자동적으로 추가된다. 프로세스 스텝 PSA1은, 예를 들어 반응관(10B) 내에 퍼지 가스(N2 가스)를 흘리면서, 반응관(10B) 내를 진공화하는 처리(P)이다. 또한, 프로세스 스텝 PSA1은, 레시피 RC2(PS1 내지 PS9)가 복수 사이클 실행되는 경우에 있어서, 1회째의 사이클의 선두 프로세스 스텝 PS1 앞에만 추가된다. 프로세스 스텝 PSA1은, 레시피 RC2(PS1 내지 PS9)의 2회째 이후의 사이클에 있어서, 프로세스 스텝 PS1 앞에는, 추가되지 않는다. 즉, 레시피 RC2(PS1 내지 PS9)의 1회째의 사이클의 최후의 프로세스 스텝 PS9의 실시 후, 레시피 RC2(PS1 내지 PS9)의 2회째의 사이클의 최초의 프로세스 스텝 PS1이 실시된다. 마찬가지로, 레시피 RC2(PS1 내지 PS9)의 2회째의 사이클의 최후의 프로세스 스텝 PS9의 실시 후, 레시피 RC2(PS1 내지 PS9)의 3회째의 사이클의 최초의 프로세스 스텝 PS1이 실시된다.
도 6b의 예에서는, 동시에 흘릴 수 없는 처리 가스 A, B, C 중, 레시피(RC1, RC2)의 1사이클에 있어서의 공급 시간이 가장 긴 것(tmax)을 선택(여기서는, PS7)하고, 처리 모듈(3A, 3B) 사이의 레시피 시차 tdiff를, tmax+n*tcycle에 일치시키도록, 어느 한 쪽 레시피(RC1, RC2)의 프로세스 스텝 PS1의 개시 시간을 늦춤으로써 조정한다. 도 6b의 예에서는, 레시피 RC2에 있어서의 PS1의 개시 시간이, 레시피 RC1에 있어서의 PS1의 개시 시간과 비교하여, 프로세스 스텝 PSA1을 추가한 시간만큼 늦춰진다. 즉, 조정 후의 시차 tdiff_adj=tmax+n*tcycle, (여기에서 n은 임의의 정수, tcycle은 레시피의 1사이클의 시간: PS1의 개시 시간으로부터 PS7의 종료 시간까지의시간)이다. 또한, tmax≤tcycle/2를 전제로 한다.
늦추는 시간을 적게 하는 것이 바람직한 경우에는, 현재의 시차(처리 모듈(3A)의 레시피 RC1을 기준으로 했을 때의 현재의 처리 모듈(3B)의 레시피 RC2의 진행 시간) tdiff에 의존하여,
{
if (tmax≤(|tdiff|%tcycle)<tmax+tcycle/2) then 진행하고 있는 쪽의 처리 모듈을 |tdiff|%tcycle)-tmax 늦추고(즉 tdiff_adj=tdiff-((|tdiff|%tcycle)-tmax))
else if ((|tdiff|%tcycle)<tmax) then 늦은 쪽의 PM을 (|tdiff|%tcycle)-tmax 늦추고
Else 늦은 쪽의 처리 모듈을 tcycle-(|tdiff|%tcycle)-tmax 늦춘다
}
여기에서, %는 최소 비부 잉여의 연산자이며, 0<(tdiff%tcycle)<tcycle/2일 때, 처리 모듈(3A)이 앞서고 있고, 그 이외일 때는 처리 모듈(3B)이 앞서고 있다.
또한, 컨트롤러(100)는 처리실(14A, 14B)의 열 이력을 동일하게 하는 조정 기능도 갖는다. 결정된 시간을 설정하고, 동시 진행의 레시피뿐만 아니라, 배치간에서의 이력을 설정한 퍼지 시간으로 대기한 쪽의 시간을 자동으로 서로 조정하게 할 수 있다. 즉, 도 6b에 나타내는 처리 모듈(3A, 3B)의 레시피 RC1, RC2를 복수 사이클 반복 실행한 최후의 사이클에서는, 처리 모듈(3A)의 레시피 RC1의 프로세스 스텝 PS9는, 처리 모듈(3B)의 레시피 RC2의 프로세스 스텝 PS9에 비하여, 시간적으로 일찍 종료하게 된다. 따라서, 처리실(14A)의 열 이력과 처리실(14B)의 열 이력이 상이하게 되어 버린다.
도 6c에 도시되는 바와 같이, 처리 모듈(3A)의 레시피 RC1의 최종 사이클에 있어서, PSA1과 동일 시간의 프로세스 스텝 PSA2가 프로세스 스텝 PS9의 후에, 컨트롤러(100)에 의해 자동적으로 추가된다. 이에 의해, 처리실(14A)의 열 이력과 처리실(14B)의 열 이력을 동일하게 할 수 있다. 프로세스 스텝 PSA2는, 예를 들어 반응관(10A) 내에 퍼지 가스(N2 가스)를 흘리면서, 반응관(10A) 내를 진공화하는 처리(P)이다.
또한, 처리 모듈(3A)과 처리 모듈(3B)은, 기본적으로 비동기로 동작하고 있고, 처리 모듈(3A)과 처리 모듈(3B) 사이의 의존성이 적다. 이 때문에, 처리 모듈(3A)과 처리 모듈(3B)의 한쪽이 고장 등에 의해 정지되었다고 해도, 처리 모듈(3A)과 처리 모듈(3B)의 다른 쪽이 처리 속행 가능하다.
도 7은, 컨트롤러에 의한 레시피의 다른 제어예를 설명하는 도면이다. 도 7에는, 처리 모듈(3B)로 실시되는 레시피 RC2에 있어서, 프로세스 스텝 PS1 앞에 추가되는 프로세스 스텝(PSA1 내지 PSA4)의 처리 시간이 다른 네 예를 나타내고 있다.
도 7에 나타내는 레시피 RC21은, 도 6b에 도시되는 레시피 RC2와 동일하고, 1사이클에 있어서의 공급 시간이 가장 긴 처리 가스 C의 공급 시간만큼, 레시피 RC21의 진행 시간을 어긋나게 하는 룰에 기초한다. 이 때문에, 레시피 RC21에 있어서, 프로세스 스텝 PS1 앞에, 프로세스 스텝 PSA1이 추가된다. 이에 의해, 처리 모듈(3A)에의 가스 C가 공급하는 프로세스 스텝 PS7의 종료 후, 그것에 이어 처리 모듈(3B)에의 가스 C가 공급하는 프로세스 스텝 PS7이 개시된다. 또는, 레시피 RC21은, 처리 가스 A 및 B와, 처리 가스 C의 배기 타이밍이 겹치지 않도록 하는 룰에 기초하고 있다고도 할 수 있다. 이 룰에 의하면, 처리 가스 C가, 처리 가스 A 및 B와 기상 반응하는 경우에, 공통된 진공 펌프(52)의 상류에 있어서 바람직하지 않은 고형물의 생성을 억제할 수 있다. 또는, 레시피 RC21은, 처리 가스 A, B 및 C의 퍼지 공정의 종료와, 어떠한 가스의 배기 공정이, 겹치지 않도록 하는 룰에 기초하고 있다고도 할 수 있다. 이 룰에 의해, 퍼지 종료 시점에 있어서의 잔류 가스 농도의 증가를 방지할 수 있다.
도 7에 나타내는 레시피 RC22에서는, 프로세스 스텝 PS1 앞에, 프로세스 스텝 PSA2가 추가된다. 이에 의해, 처리 모듈(3A)에서, 처리 가스 A, B를 사용한 후(프로세스 스텝 PS4의 종료 후)에, 처리 모듈(3B)에서, 처리 가스 A를 사용하는 프로세스 스텝 PS1이 개시된다.
도 7에 나타내는 레시피 RC23에서는, 프로세스 스텝 PS1 앞에, 프로세스 스텝 PSA3이 추가된다. 처리 모듈(3A, 3B)의 사이에, 레시피의 위상을 단순하게 반전시키는 룰(즉 시간차를 tdiff_adj =tcycle/2로 설정함)에 기초한다. 이 룰은, 그 시간적인 대칭성에 의해, 가스 공급계(34)와 최종 밸브 배치부(75A, B)의 사이에 버퍼 탱크를 마련한 경우에도, 각 처리 모듈(3A, B)에 균등하게 동일 조건에서 가스를 공급할 수 있다. 또는 레시피 RC23은, 처리 가스 A, B 및 C의 배기 타이밍이 서로 겹치지 않도록 하는 룰에 기초하고 있다고도 할 수 있다. 이것은, 하나의 가스에 관한 연속되는 공급, 배기 및 퍼지의 공정 합계 시간이, tcycle/2 이상인 경우에 적합하다.
도 7에 도시되는 레시피 RC24에서는, 프로세스 스텝 PS1 앞에, 프로세스 스텝 PSA4가 추가된다. 이에 의해, 처리 모듈(3B)에의 처리 가스 C의 공급의 종료 후(프로세스 스텝 PS7의 종료 후), 그것에 이어, 2사이클째의 처리 모듈(3A)에의 처리 가스 C의 공급이 개시된다(2사이클째의 프로세스 스텝 PS7). 처리 모듈(3A, 3B)의 사이를 구별하지 않는(선후를 문제로 하지 않는) 경우, 처리 모듈(3B)의 레시피 RC21과 등가이다.
도 7의 레시피 RC1 내지 RC4에 나타내는 바와 같이, 처리 모듈(3B)에 있어서 처리 가스 A를 사용하는 프로세스 스텝 PS1의 개시 타이밍은, 설정 파라미터와 예측된 시퀀스에 의해 최적으로 제어 가능하다.
그러나, 도 7의 레시피 RC1, RC21 내지 RC24 모두, 임의의 레시피에 대해, 모두 가스의 공급 타이밍이 겹치지 않는 것은, 보증되어 있지 않다고도 할 수 있다.
도 8은, 공급 타이밍이 겹치지 않는 어긋남양을 결정하는 처리 플로우를 나타내는 도면이다. 도 8의 처리 플로우는, 처리 모듈(3A, 3B)의 레시피의 사이클간의 시차 tadj가 0인 상태로부터 개시하여, 필요한 어긋남양을 산출하는 것이다.
스텝 S1: 처리 모듈(3B)의 레시피를 현재의 시차 tadj보다도 더 늦춰야 할 시간을 나타내는 변수 tadj_add에, 0을 대입한다.
스텝 S2: 처리 가스 중으로부터 순차 하나(가스 x)를 선택함으로써, 처리 가스 각각에 대해 이하의 처리(스텝 S21 내지 S23)를 행한다.
스텝 S21: 처리 모듈(3A)에 있어서의 레시피가 특정한 1사이클 중에서, 가스x의 공급 구간을, 선두로부터 순차 선택하고, 그 개시 시간 t1xi_start와 그 종료 시간t1xi_end를 특정한다. 여기서, i는 nx개 존재하는 공급 구간의 인덱스이다.
스텝 S22: 처리 모듈(3A)과 tadj의 시차가 있는 처리 모듈(3B)에 있어서의 레시피가 임의의 1사이클 중에, 개시 시간 t1xi_start로부터 종료 시간 t1xi_end 사이에 개시되는 가스 x의 공급이 없는지 검사하고, 공급 구간의 겹침 해소에 필요한 지연 시간의 최댓값을 갱신한다. 구체적으로는, t1xi_start≤t2xj_start<t1xi_end를 만족하는t2xj_start를, 사이클 공급 구간 j=1..nx 전부 중에서 찾아, tadj_add<t2xj_start-t1xi_start이면 tadj_add에 t2xj_start-t1xi_start를 대입시킨다.
스텝 S23: 인덱스 i가 nx에 도달하지 않았으면, 스텝 S21로 되돌아가고, 도달되어 있으면, 다음 처리(스텝 S3)로 진행한다.
스텝 S3: 보유 지지되어 있는 변수 tadj_add가 0이면, 현재의 시차 tadj로 확정하여(즉, tadj를 tdiff_adj 또는 tmax로서 결정하여) 처리를 종료한다.
스텝 3에서 비0인 경우, 스텝 S4로서, tcycle<tdiff_adj+tadj라면, 겹침의 해소는 불가능하므로 처리를 중단한다.
스텝 S4에서, tcycle<tdiff_adj+tadj가 아니면, 스텝 S5로서, tdiff_adj에 tdiff_adj -tadj를 대입하여, 스텝 S1로 되돌아간다.
이상 정리하면, 이하로 된다.
기판 처리 장치(2)는,
세로 방향으로 배치된 복수의 기판(W)을 처리하는 제1 처리실(반응관(10A))을 갖는 제1 처리 모듈(3A)과,
상기 제1 처리실(10A)에 인접하여 배치되고, 세로 방향으로 배치된 복수의 기판을 처리하는 제2 처리실(반응관(10B))을 갖는 제2 처리 모듈(3A)과,
상기 제1 처리실(10A) 내를 배기하는 제1 배기계가 수납된 제1 배기 박스(74A)와,
상기 제2 처리실(10B) 내를 배기하는 제2 배기계가 수납된 제2 배기 박스(74B)와,
상기 제1 및 제2 처리실(10A, 10B) 내에 공급하는 복수의 처리 가스(A, B, C)의 유로 또는 유량 중 적어도 한쪽을 제어하는 공통 공급 박스(72)와,
상기 공통 공급 박스(72)로부터의 가스관을, 상기 제1 처리실(10A)로, 연통 상태를 제어 가능하게 접속하는 제1 밸브군(40A, 40a 내지 40f)와,
상기 공통 공급 박스로부터의 가스관을, 상기 제2 처리실(10B)로, 연통 상태를 제어 가능하게 접속하는 제2 밸브군(40B, 40a 내지 40f)을 포함하고,
상기 제1 및 제2 처리 모듈(3A, 3B)에 있어서, 동일한 막을 생성시키기 위하여, 실질적으로 동일한 가스 공급 시퀀스(레시피 RC1, RC2)를 반복하는 처리를, 시간을 어긋나게 하여 병행하여 행하고,
상기 어긋남 시간은, 상기 복수의 처리 가스(A, B, C) 중의 특정한 가스(C)의 공급 타이밍(PS7)이 먼저 처리를 개시한 상기 제1 및 제2 처리 모듈(3A, 3B)의 한쪽(3A)의 가스 공급 시퀀스(레시피 RC1의 PS7)와 겹치지 않도록, 나중에 처리를 개시하는 상기 제1 및 제2 처리 모듈(3A, 3B)의 다른 쪽(3B)의 가스 공급 시퀀스(레시피 RC2의 PS7)를 늦추는 방법(레시피 RC2에 대한 PSA1의 삽입)에 의해 결정된다.
또한, 기판 처리 장치(2)에 있어서,
상기 제1 및 제2 처리 모듈(3A, 3B)가 인접하는 면(S1, S2)을 기준으로 하여, 상기 제1 및 제2 처리 모듈(3A, 3B), 상기 제1 및 제2 배기 박스(74A, 74B), 상기 제1 및 제2 밸브군(40A, 40B) 각각이, 서로 면 대칭으로 구성되고, 또한, 배치되며,
상기 제1 밸브군(40A)과 상기 제1 처리 모듈(3A) 사이의 복수의 가스 파이프(10Aa, 10Ab)의 길이는, 상기 제2 밸브군(40B)과 상기 제2 처리 모듈(3B) 사이의 대응하는 가스 파이프(10Ba, 10Bb)의 길이와 동등하다.
또한, 기판 처리 장치(2)에 있어서,
상기 복수의 처리 가스는, 3종류의 원료 가스를 포함하고,
상기 가스 공급 시퀀스(레시피 RC1, RC2)는 하나의 처리실에 대해, 3종류의 처리 가스(A, B, C)를 시간적인 간격을 두고 주기적으로 공급하는 것이며, 상기 제1 및 제2 처리 모듈(3A, 3B)에 있어서 병행하여 행하는 동안, 상기 3종류의 처리 가스(A, B, C) 각각은, 상기 제1 및 제2 처리 모듈(3A, 3B)의 어느 쪽에도 공급되지 않는 타이밍(도 6b에 있어서, RC1의 PS2, PS3과 RC2의 PSA1, RC1의 PS5, PS6과 RC2의 PS2, PS3)이 존재한다.
기판 처리 장치(2)에 있어서,
상기 제1 처리 모듈(3A), 상기 제1 배기 박스(74A) 및 상기 제1 밸브군(40A)을 제어하는 제1 프로세스 제어기(컨트롤러(100(A)))와,
상기 제2 처리 모듈(3B), 상기 제2 배기 박스(74B) 및 상기 제2 밸브군(40B)을 제어하는 제2 프로세스 제어기(컨트롤러(100(B)))를 포함하고,
상기 제1 및 제2 프로세스 제어기(100(A), 100(B))는 각각이 제어하는 상기 제1 및 상기 제2 밸브군(40A, 40B)의 유통 상태를 실질적으로 나타내는 정보를, 다른 프로세스 제어기(100(A), 100(B))에 전달하고, 상기 제1 및 상기 제2 밸브군(40A, 40B)으로 동일한 가스의 밸브 동시 공급을 금지하고 있는 동안을 제외하고, 상기 제1 및 상기 제2 처리 모듈(3A, B)는, 비동기로 운용된다.
(변형예)
이하 몇가지 변형예를 설명한다.
(변형예 1)
도 9는, 변형예 1에 관한 기판 처리 장치의 일례를 개략적으로 나타내는 상면도이다.
도 9에 도시되는 바와 같이, 유틸리티계(70)는 공급 박스(72), 배기 박스(74A, 74B), 컨트롤러 박스(76A, 76B)로 구성되어 있다. 공급 박스(72), 배기 박스(74A, 74B), 컨트롤러 박스(76A, 76B)는 반송실(6A, 6B)의 인접면 S2에 대해 면 대칭으로 배치되어 있다. 배기 박스(74A)는 반송실(6A)의 배면에 있어서의 반송실(6B)과는 반대측에 위치하는 외측 모퉁이부에 배치된다. 배기 박스(74B)는 반송실(6B)의 배면에 있어서의 반송실(6A)과는 반대측에 위치하는 외측 모퉁이부에 배치된다. 즉, 배기 박스(74A, 74B)는 반송실(6A, 6B)의 외측 측면과 배기 박스(74A, 74B)의 외측 측면이 평면에 접속하도록, 평탄하게(매끄럽게) 설치된다.
공급 박스(72)는 배기 박스(74A, 74B) 사이에 있어서, 배기 박스(74A, 74B)와 이격하여 중앙에 배치된다. 공급 박스(72)의 전방면은, 반송실(6A, 6B)의 배면에 접하도록 배치된다. 최종 밸브 설치부(75A, 75B)는 처리로(4A, 4B)의 배면과 접하도록 설치된다. 최종 밸브 설치부(75A, 75B)의 측면이 접하는 부분은, 공급 박스(72)의 전방면 상측에 설치되어 있다. 최종 밸브 설치부(75A, 75B)와 공급 박스(72)의 겹치는 부분에 있어서, 공급 박스(72)로부터 최종 밸브 설치부(75A, 75B)에 복수의 배관이 배치된다. 컨트롤러 박스(76A, 76B)는 공급 박스(72)의 배면에 접하여 마련된다.
이와 같은 구성에 있어서도, 도 5에서 설명된 것과 마찬가지로, 공급 박스(72)로부터 동일 가스를, 처리 모듈(3A)의 밸브(40a) 및 배관(10Aa)을 통하여 처리 모듈(3A)의 노즐(44a)에 공급하는 경우와, 처리 모듈(3B)의 밸브(40a) 및 배관(10Ba)을 통하여 처리 모듈(3B)의 노즐(44a)에 공급하는 경우를, 동일한 도달 시간으로 할 수 있다.
(변형예 2)
도 10은, 변형예 2에 관한 기판 처리 장치의 일례를 개략적으로 나타내는 상면도이다. 도 10이 도 9와 상이한 점은, 컨트롤러 박스(76A, 76B)가 배기 박스(74A, 74B)의 배면에 마련된 점과, 공급 박스(72)가 플로어 전체면에 마련된 점이다. 다른 구성은, 도 10과 동일하다. 또한, 공급 박스(72)로부터 최종 밸브 설치부(75A, 75B)로 복수의 배관은, 사각형의 점선 BB로 나타내는 위치에 배치할 수 있다.
이와 같은 구성에 있어서도, 도 5에서 설명된 것과 마찬가지로, 공급 박스(72)로부터 동일 가스를, 처리 모듈(3A)의 밸브(40a) 및 배관(10Aa)을 통하여 처리 모듈(3A)의 노즐(44a)에 공급하는 경우와, 처리 모듈(3B)의 밸브(40a) 및 배관(10Ba)을 통하여 처리 모듈(3B)의 노즐(44a)에 공급하는 경우를, 동일한 도달 시간으로 할 수 있다.
(변형예 3)
도 11은, 변형예 3에 관한 가스 공급계를 나타내는 도면이다.
도 11에는, 예시적으로, 질소 가스(N2), 암모니아 가스(NH3), HCDS 가스 및 클리닝 가스(GCL)를 공급하는 가스 공급계(34)에 대해 설명한다. 또한, 최종 밸브 설치부(75A)의 구성과 최종 밸브 설치부(75B)의 구성은 동일하며, 최종 밸브 설치부(75B)의 구성의 기재는 생략한다.
HCDS 가스는, 밸브(42a), MFC(38a), 밸브(41a), 최종 밸브 설치부(75A, 75B)의 밸브(40a)를 통하여, 반응관(10A, 10B)의 노즐(44a)에 공급 가능하게 되어 있다.
암모니아 가스(NH3)는 밸브(42b), MFC(38b), 밸브(41b), 최종 밸브 설치부(75A, 75B)의 밸브(40b)를 통하여, 반응관(10A, 10B)의 노즐(44b)에 공급 가능하게 되어 있다. 암모니아 가스(NH3)는 또한, 밸브(41b2), 최종 밸브 설치부(75A, 75B)의 밸브(40f)를 통하여, 반응관(10A, 10B)의 노즐(44c)에도 공급 가능하게 되어 있다.
질소 가스(N2)는 밸브(42d), MFC(38c), 밸브(41c), 최종 밸브 설치부(75A, 75B)의 밸브(40c)를 통하여, 반응관(10A, 10B)의 노즐(44a)에 공급 가능하게 되어 있다. 또한, 질소 가스(N2)는 밸브(42d), MFC(38d), 밸브(41d), 최종 밸브 설치부(75A, 75B)의 밸브(40d)를 통하여, 반응관(10A, 10B)의 노즐(44b)에도 공급 가능하게 되어 있다. 또한, 질소 가스(N2)는 밸브(42d), MFC(38f), 밸브(41f), 최종 밸브 설치부(75A, 75B)의 밸브(40f)를 통하여, 반응관(10A, 10B)의 노즐(44c)에도 공급 가능하게 되어 있다.
클리닝 가스 GCL은, 밸브(42g), MFC(38g), 밸브(41g), 최종 밸브 설치부(75A, 75B)의 밸브(40g, 40g2, 40g3)를 통하여, 반응관(10A, 10B)의 전체 노즐(44a, 40b, 40c)로 공급 가능하게 되어 있다.
또한, MFC(38c)의 하류의 밸브(41a2), MFC(38b)의 하류의 41b3, MFC(38b)의 하류의 밸브(41g2)는 배기계 ES로 접속되어 있다.
도 11에 도시된 바와 같이, 가스 공급계(34)의 하류측의 분배 배관인 복수의 가스관(35)은 최종 밸브 설치부(75A)에 접속되는 복수의 가스 분배관(35A)과, 최종 밸브 설치부(75B)에 접속되는 복수의 가스관(35B)으로 분기된다. 분기 후의 복수의 가스 분배관(35A)과 복수의 가스관(35B)은 서로 동등한 길이를 갖는다. 복수의 가스관(35)에는, 적절히, 히터, 필터, 체크 밸브(역지 밸브), 버퍼 탱크 등이 마련될 수 있다.
처리 모듈(3A)의 파이널 밸브군인 밸브(40a 내지 40d, 40f, 40g, 40g2, 40g3)는 처리 모듈(3A)의 반응관(10A)이 갖는 세개의 노즐(인젝터라고도 함)(44a, 44b, 44c)의 앞에 마련되고, 인젝터로의 가스 공급을 컨트롤러(100)에 의해 직접적으로 조작하는 것이 가능하다. 도 11의 파이널 밸브군(밸브(40a 내지 40d, 40f, 40g, 40g2, 40g3))은 하나의 인젝터(44a, 44b, 44c)에 대해, 복수의 가스를 동시에(즉 혼합하여) 공급할 수 있다. 또한, 하나의 분배 배관으로부터의 클리닝 가스 GCL은, 모든 인젝터(44a, 44b, 44c)에 공급할 수 있도록 구성된다. 처리 모듈(3B)의 파이널 밸브군인 밸브(40a 내지 40d, 40f, 40g, 40g2, 40g3)는 처리 모듈(3A)의 파이널 밸브군(밸브(40a 내지 40d, 40f, 40g, 40g2, 40g3))과 동일한 구성을 갖는다.
본 실시 형태에 따르면, 이하에 하나 또는 복수의 효과를 얻을 수 있다.
1) 복수의 처리 모듈(3A, 3B)간에 있어서, 생성된 막의 품질을 동등하게 할 수 있다.
2) 복수의 처리 모듈(3A, 3B)간에 있어서, 열 이력을 동등하게 할 수 있다.
3) 복수의 처리 모듈(3A, 3B)에 대해, 공통된 공급 박스를 마련하고, 공급 박스로부터 최종 밸브까지의 가스관을 공유하므로, 기판 처리 장치의 공간 절약화가 가능하다.
4) 상기 3)에 의해, 기판 처리 장치를 필요로 하는 풋프린트가 저하되고, 필요한 생산량에 비해, 클린 룸의 사용 면적을 억제하는 것이 가능해지고, 경제성에 있어서 매우 유리하다.
예를 들어, 상술한 실시 형태에서는, 원료 가스로서 HCDS 가스를 사용하는 예에 대해 설명했지만, 본 발명은 이와 같은 양태에 한정되지 않는다. 예를 들어, 원료 가스로서는, HCDS 가스 외에도, DCS(Si2H4Cl6: 디클로로디실란) 가스, MCS(SiH3Cl: 모노클로로실란) 가스, TCS(SiHCl3: 트리클로로실란) 가스 등의 무기계 할로실란 원료 가스나, 3DMAS(Si[N(CH3)2]3H: 트리스디메틸아미노실란) 가스, BTBAS(SiH2[NH(C4H9)]2: 비스tert-부틸아미노실란) 가스 등의 할로겐기 비함유의 아미노계(아민계)실란 원료 가스나, MS(SiH4: 모노실란) 가스, DS(Si2H6: 디실란) 가스 등의 할로겐기 비함유의 무기계 실란 원료 가스를 사용할 수 있다.
예를 들어, 상술한 실시 형태에서는, SiN막을 형성하는 예에 대해 설명하였다. 그러나, 본 발명은 이와 같은 양태에 한정되지 않는다. 예를 들어, 이들 외에도, 또는, 이들 이외에도, 암모니아(NH3) 가스 등의 질소(N) 함유 가스(질화 가스), 프로필렌(C3H6) 가스 등의 탄소(C) 함유 가스, 삼염화붕소(BCl3) 가스 등의 붕소(B) 함유 가스 등을 사용하여, SiO2막, SiON막, SiOCN막, SiOC막, SiCN막, SiBN막, SiBCN막 등을 형성할 수 있다. 이들 성막을 행하는 경우에 있어서도, 상술한 실시 형태와 마찬가지의 처리 조건에서 성막을 행할 수 있고, 상술한 실시 형태와 마찬가지의 효과를 얻을 수 있다.
상술한 실시 형태에서는, 웨이퍼 W 위에 막을 퇴적시키는 예에 대해 설명했지만, 본 발명은 이와 같은 양태로 한정되지 않는다. 예를 들어, 웨이퍼 W나 웨이퍼 W 위에 형성된 막 등에 대해, 산화 처리, 확산 처리, 어닐 처리, 에칭 처리 등의 처리를 행하는 경우에도, 적합하게 적용 가능하다.
이상, 본 발명자에 의해 이루어진 발명을 실시예에 기초하여 구체적으로 설명했지만, 본 발명은 상기 실시 형태 및 실시예에 한정되는 것은 아니고, 다양하게 변경 가능함은 물론이다.
예를 들어, 하나의 가스 공급 장치에 대해 셋 이상의 다중 처리 모듈 반응 실을 배치하고, 같은 길이의 공급관을 통해 가스를 공급하도록 구성할 수 있다. 또한, 당업자라면 사용하는 가스의 전부가 아니라 일부(예를 들어 Si 원료 가스)가 공통되는 두 동일한 시간의 레시피를 소정의 시차로 병렬로 실행하는 장치에도 쉽게 적용할 수 있다.
3: 처리 모듈
4: 반응관
72: 공급 박스
74: 배기 박스
76: 컨트롤러 박스
100: 컨트롤러

Claims (10)

  1. 세로 방향으로 배치된 복수의 기판을 처리하는 제1 처리실을 갖는 제1 처리 모듈과,
    상기 제1 처리실에 인접하여 배치되어, 세로 방향으로 배치된 복수의 기판을 처리하는 제2 처리실을 갖는 제2 처리 모듈과,
    상기 제1 처리실 내를 배기하는 제1 배기계가 수납된 제1 배기 박스와,
    상기 제2 처리실 내를 배기하는 제2 배기계가 수납된 제2 배기 박스와,
    상기 제1 및 제2 처리실 내에 공급하는 복수의 처리 가스의 유로 또는 유량 중 적어도 한쪽을 제어하는 공통 공급 박스와,
    상기 공통 공급 박스로부터의 가스관을, 상기 제1 처리실로, 연통 상태를 제어 가능하게 접속하는 제1 밸브군과,
    상기 공통 공급 박스로부터의 가스관을, 상기 제2 처리실로, 연통 상태를 제어 가능하게 접속하는 제2 밸브군을 포함하고,
    상기 제1 및 제2 처리 모듈에 있어서, 동일한 막을 생성시키기 위하여, 실질적으로 동일한 가스 공급 시퀀스를 반복하는 처리를, 시간을 어긋나게 하여 병행하여 행하고,
    상기 어긋남 시간은, 상기 복수의 처리 가스 중 특정한 가스의 공급 타이밍이, 먼저 처리를 개시한 상기 제1 및 제2 처리 모듈의 한쪽의 가스 공급 시퀀스와 겹치지 않도록, 나중에 처리를 개시하는 상기 제1 및 제2 처리 모듈의 다른 쪽의 가스 공급 시퀀스를 늦추는 방법에 의해 결정되는, 기판 처리 장치.
  2. 제1항에 있어서,
    상기 제1 및 제2 처리 모듈이 인접하는 면을 기준으로 하여, 상기 제1 및 제2 처리 모듈, 상기 제1 및 제2 배기 박스, 상기 제1 및 제2 밸브군 각각이, 서로 면 대칭으로 구성되고, 또한, 배치되며,
    상기 제1 밸브군과 상기 제1 처리 모듈의 사이의 복수의 가스 분배관의 길이는, 상기 제2 밸브군과 상기 제2 처리 모듈의 사이의 대응하는 가스 분배관의 길이와 동등한, 기판 처리 장치.
  3. 제1항에 있어서,
    상기 복수의 처리 가스는, 3종류의 원료 가스를 포함하고,
    상기 가스 공급 시퀀스는, 하나의 처리실에 대해, 상기 3종류의 원료 가스를, 시간적인 간격을 두고 주기적으로 공급하는 것이며,
    상기 가스 공급 시퀀스를, 상기 제1 및 제2 처리 모듈에 있어서 병행하여 행하는 동안, 상기 3종류의 원료 가스 각각은, 상기 제1 및 제2 처리실의 어느 쪽에도 공급되지 않는 타이밍이 존재하는, 기판 처리 장치.
  4. 제2항에 있어서, 상기 제1 처리 모듈, 상기 제1 배기 박스 및 상기 제1 밸브군을 제어하는 제1 프로세스 제어기와,
    상기 제2 처리 모듈, 상기 제2 배기 박스 및 상기 제2 밸브군을 제어하는 제2 프로세스 제어기를 더 포함하고,
    상기 제1 및 제2 프로세스 제어기는, 각각이 제어하는 상기 제1 및 상기 제2 밸브군의 유통 상태를 실질적으로 나타내는 정보를, 다른 프로세스 제어기로 전달하고, 상기 제1 및 상기 제2 밸브군에서 동일한 가스의 밸브 동시 공급을 금지하고 있는 동안을 제외하고, 상기 제1 및 상기 제2 처리 모듈은, 비동기로 운용되는, 기판 처리 장치.
  5. 제1항에 있어서,
    상기 복수의 처리 가스는, 3종류의 원료 가스를 포함하고,
    상기 가스 공급 시퀀스는, 하나의 처리실에 대해, 상기 3종류의 원료 가스를, 시간적인 간격을 두고 주기적으로 공급하는 것이며,
    상기 가스 공급 시퀀스는, 적어도 상기 시간적인 간격의 동안, 제1 또는 제2 배기계에 의한 배기가 행해지는, 기판 처리 장치.
  6. 제5항에 있어서,
    상기 배기는, 제1 또는 제2 배기계에 의한 배기가 행해지는 배기 공정과, 배기 공정 후에 퍼지 가스를 흘리면서 제1 또는 제2 배기계에 의한 배기를 행하는 퍼지 공정을 포함하는, 기판 처리 장치.
  7. 제5항에 있어서,
    상기 어긋남 시간은, 상기 가스 공급 시퀀스에 있어서, 상기 3종류의 원료 가스 중 공급 시간이 가장 긴 원료 가스의 공급 시간과 동일 시간인, 기판 처리 장치.
  8. 제5항에 있어서,
    상기 어긋남 시간은, 상기 3종류의 원료 가스에 포함되는 제1 가스와 제2 가스의 배기 타이밍이, 제1 처리 모듈과 제2 처리 모듈 사이에서 겹치지 않도록 하는 룰에 기초하여, 더 제한되는 기판 처리 장치.
  9. 제5항에 있어서,
    상기 어긋남 시간은, 상기 3종류의 원료 가스에 포함되는 제1 가스의 퍼지 공정의 종료와, 제2 가스의 배기 공정이, 제1 처리 모듈과 제2 처리 모듈의 사이에서 겹치지 않도록 하는 룰에 기초하여, 더 제한되는 기판 처리 장치.
  10. 세로 방향으로 배치된 복수의 기판을 처리하는 제1 처리실을 갖는 제1 처리 모듈과, 상기 제1 처리실에 인접하여 배치되어, 세로 방향으로 배치된 복수의 기판을 처리하는 제2 처리실을 갖는 제2 처리 모듈과, 상기 제1 처리실 내를 배기하는 제1 배기계가 수납된 제1 배기 박스와, 상기 제2 처리실 내를 배기하는 제2 배기계가 수납된 제2 배기 박스와, 상기 제1 및 제2 처리실 내에 공급하는 복수의 처리 가스의 유로 또는 유량 중 적어도 한쪽을 제어하는 공통 공급 박스와, 상기 공통 공급 박스로부터의 가스관을, 상기 제1 처리실로, 연통 상태를 제어 가능하게 접속하는 제1 밸브군과, 상기 공통 공급 박스로부터의 가스관을, 상기 제2 처리실로, 연통 상태를 제어 가능하게 접속하는 제2 밸브군을 포함하는 기판 처리 장치의 상기 제1 처리실 및 상기 제2 처리실 각각에, 세로 방향으로 배치된 복수의 기판을 반입하는 공정과,
    상기 제1 및 제2 처리 모듈에 있어서, 동일한 막을 생성시키기 위하여, 실질적으로 동일한 가스 공급 시퀀스를 반복하는 처리를, 시간을 어긋나게 하여 병행하여 행하는 공정을 포함하고,
    상기 어긋남 시간은, 상기 복수의 처리 가스 중 특정한 가스의 공급 타이밍이, 먼저 처리를 개시한 상기 제1 및 제2 처리 모듈의 한쪽의 가스 공급 시퀀스와 겹치지 않도록, 나중에 처리를 개시하는 상기 제1 및 제2 처리 모듈의 다른 쪽의 가스 공급 시퀀스를 늦추는 방법에 의해 결정되는, 반도체 장치의 제조 방법.
KR1020190106458A 2018-09-04 2019-08-29 기판 처리 장치 및 반도체 장치의 제조 방법 KR102271228B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2018-165213 2018-09-04
JP2018165213A JP6896682B2 (ja) 2018-09-04 2018-09-04 基板処理装置および半導体装置の製造方法

Publications (2)

Publication Number Publication Date
KR20200027430A true KR20200027430A (ko) 2020-03-12
KR102271228B1 KR102271228B1 (ko) 2021-07-01

Family

ID=69642120

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190106458A KR102271228B1 (ko) 2018-09-04 2019-08-29 기판 처리 장치 및 반도체 장치의 제조 방법

Country Status (4)

Country Link
US (1) US10590531B1 (ko)
JP (1) JP6896682B2 (ko)
KR (1) KR102271228B1 (ko)
CN (1) CN110872701B (ko)

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102175089B1 (ko) * 2018-08-23 2020-11-06 세메스 주식회사 버퍼 유닛, 그리고 이를 가지는 기판 처리 장치 및 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7228612B2 (ja) * 2020-03-27 2023-02-24 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
JP7357660B2 (ja) * 2021-07-09 2023-10-06 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202343622A (zh) 2022-04-28 2023-11-01 日商國際電氣股份有限公司 氣體供給系統,基板處理裝置及半導體裝置的製造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005129579A (ja) * 2003-10-21 2005-05-19 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US6902624B2 (en) 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
JP2012164736A (ja) * 2011-02-04 2012-08-30 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
KR20140070590A (ko) * 2011-10-11 2014-06-10 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 기판 처리 방법, 반도체 장치의 제조 방법 및 기록 매체
JP2016009724A (ja) 2014-06-23 2016-01-18 東京エレクトロン株式会社 成膜装置および成膜方法
KR20180054788A (ko) * 2016-06-30 2018-05-24 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US9991139B2 (en) * 2012-12-03 2018-06-05 Asm Ip Holding B.V. Modular vertical furnace processing system

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100269097B1 (ko) 1996-08-05 2000-12-01 엔도 마코토 기판처리장치
JP3947761B2 (ja) 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20060176928A1 (en) * 2005-02-08 2006-08-10 Tokyo Electron Limited Substrate processing apparatus, control method adopted in substrate processing apparatus and program
JP4933809B2 (ja) * 2006-03-13 2012-05-16 株式会社日立国際電気 基板処理装置及び基板処理方法及び基板処理装置の判定プログラム
JP5575507B2 (ja) * 2010-03-02 2014-08-20 株式会社日立国際電気 基板処理装置、基板搬送方法、半導体装置の製造方法および基板処理装置のメンテナンス方法
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US8496756B2 (en) * 2010-04-30 2013-07-30 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
KR20150034143A (ko) * 2012-07-04 2015-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치
JP5807084B2 (ja) * 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5859586B2 (ja) * 2013-12-27 2016-02-10 株式会社日立国際電気 基板処理システム、半導体装置の製造方法および記録媒体
KR102446557B1 (ko) * 2014-03-13 2022-09-23 엠케이에스 인스트루먼츠, 인코포레이티드 고속 펄스 가스 이송 시스템 및 장치
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
JP6271322B2 (ja) * 2014-03-31 2018-01-31 東京エレクトロン株式会社 基板処理システム
JP6789171B2 (ja) * 2017-04-21 2020-11-25 東京エレクトロン株式会社 基板処理装置、処理ガスノズル内のパーティクルコーティング方法及び基板処理方法
US10763139B2 (en) * 2017-05-23 2020-09-01 Tokyo Electron Limited Vacuum transfer module and substrate processing apparatus

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6902624B2 (en) 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
JP2005129579A (ja) * 2003-10-21 2005-05-19 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2012164736A (ja) * 2011-02-04 2012-08-30 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
KR20140070590A (ko) * 2011-10-11 2014-06-10 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 기판 처리 방법, 반도체 장치의 제조 방법 및 기록 매체
US9991139B2 (en) * 2012-12-03 2018-06-05 Asm Ip Holding B.V. Modular vertical furnace processing system
JP2016009724A (ja) 2014-06-23 2016-01-18 東京エレクトロン株式会社 成膜装置および成膜方法
KR101787825B1 (ko) * 2014-06-23 2017-11-15 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법
KR20180054788A (ko) * 2016-06-30 2018-05-24 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Also Published As

Publication number Publication date
KR102271228B1 (ko) 2021-07-01
JP2020038904A (ja) 2020-03-12
JP6896682B2 (ja) 2021-06-30
US10590531B1 (en) 2020-03-17
CN110872701B (zh) 2022-04-08
US20200071821A1 (en) 2020-03-05
CN110872701A (zh) 2020-03-10

Similar Documents

Publication Publication Date Title
KR102271228B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
KR102207020B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
CN109075020B (zh) 衬底处理装置、半导体器件的制造方法及记录介质
KR101509453B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
KR102126146B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US11170995B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR102297247B1 (ko) 처리 용기 내의 부재를 클리닝하는 방법, 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
KR20180021142A (ko) 가스 공급부, 기판 처리 장치 및 반도체 장치의 제조 방법
KR20190041911A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR102540741B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 프로그램 및 기판 처리 장치
JP2022191369A (ja) 基板処理装置、半導体装置の製造方法及びプログラム
KR102643319B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
JP2019135776A (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP7271485B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
US10790136B2 (en) Method of manufacturing semiconductor device, substrate processing system and non-transitory computer-readable recording medium
KR102480740B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
US20220277938A1 (en) Substrate processing apparatus, plasma generating device, method of manufacturing semiconductor device, and substrate processing method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right