JP6689020B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP6689020B2
JP6689020B2 JP2013171081A JP2013171081A JP6689020B2 JP 6689020 B2 JP6689020 B2 JP 6689020B2 JP 2013171081 A JP2013171081 A JP 2013171081A JP 2013171081 A JP2013171081 A JP 2013171081A JP 6689020 B2 JP6689020 B2 JP 6689020B2
Authority
JP
Japan
Prior art keywords
focus ring
mounting table
plasma processing
processing apparatus
magnetic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013171081A
Other languages
English (en)
Other versions
JP2015041451A (ja
Inventor
長山 将之
将之 長山
佐藤 直行
直行 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2013171081A priority Critical patent/JP6689020B2/ja
Priority to KR1020140105794A priority patent/KR102175862B1/ko
Priority to US14/461,537 priority patent/US10622196B2/en
Priority to TW103128379A priority patent/TWI636153B/zh
Publication of JP2015041451A publication Critical patent/JP2015041451A/ja
Application granted granted Critical
Publication of JP6689020B2 publication Critical patent/JP6689020B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Description

本発明は、プラズマ処理装置に関する。
半導体デバイスの製造プロセスにおいては、被処理体である半導体ウェハ(以下、「ウェハ」と称する)に対して、エッチング、成膜等のプラズマ処理が施される。
プラズマ処理を施すプラズマ処理装置には、ウェハを載置する載置台上であってウェハの周囲を囲む位置にフォーカスリングが配置される。フォーカスリングは、ウェハの上方に生じるプラズマの分布域をウェハ上だけでなくフォーカスリング上にまで拡大させて、ウェハ全面に施されるプラズマ処理の均一性を確保する役割を有する。
プラズマ処理時、ウェハ及びフォーカスリングは、プラズマに直接暴露され、高温になる。このため、載置台の温度を制御することによってウェハの温度を制御するとともに、フォーカスリングの温度を制御してフォーカスリングを冷却することが好ましい。このとき、載置台とフォーカスリングとの間の熱伝達効率が低いと、プラズマからの入熱をフォーカスリングから載置台へ効率よく伝達できずにフォーカスリングの温度調整が難しくなる。そこで、フォーカスリングにシリコンゴム等の伝熱シートを固定させ、載置台とフォーカスリングとの熱伝達効率を向上させる技術が開示されている(例えば、特許文献1を参照)。
特開2008−171899号公報
しかしながら、伝熱シートは粘着タイプであり、フォーカスリングへの貼り付け方や伝熱シート自身の個体差により伝熱効果が変化する。つまり、伝熱シートの粘着力が弱いとフォーカスリングと載置台との密着性が悪くなり、フォーカスリングから載置台へ効率よく熱を伝達できない。また、伝熱シートからアウトガスが発生するおそれがある。更に、伝熱シートは、フォーカスリングから簡単には剥がせない。よって、フォーカスリングの温度は伝熱シートの仕様により固定され、フォーカスリングの温度を可変に制御することは難しい。
上記課題に対して、載置台とフォーカスリングとの間の熱伝達効率を向上させ、フォーカスリングの温度を可変に制御することを目的とする。
上記課題を解決するために、一の態様によれば、基板を載置する載置台と、前記載置台の周縁部に置かれたフォーカスリングと、を有するプラズマ処理装置であって、前記フォーカスリングの下面と前記載置台とが対向する面に上下方向に互いに離間して設けられた複数の磁性部材と、前記フォーカスリングの下面と前記載置台とが対向する面間に伝熱ガスを導入するための10μm以下のギャップを設け、前記フォーカスリングを温度調整する温調手段と、を有し、前記載置台と対向する前記フォーカスリングの下面に凹凸を形成する、ことを特徴とするプラズマ処理装置が提供される。
一の態様によれば、載置台とフォーカスリングとの間の熱伝達効率を向上させ、フォーカスリングの温度を可変に制御することができる。
一実施形態に係るプラズマ処理装置の縦断面図。 一実施形態に係るフォーカスリング周りの構造の一例。 一実施形態に係るフォーカスリング周りの構造の他例。 一実施形態に係るフォーカスリング周りの構造の他例。 一実施形態に係るフォーカスリングの温度調整を説明するための図。 一実施形態に係る鉄板の距離と吸引力を説明するためのグラフ。 一実施形態に係る磁束密度と吸着力との関係を説明するための図。 一実施形態に係るフォーカスリング周りの構造の他例。 一実施形態に係る磁力による自動位置調整を説明するための図。
以下、本発明を実施するための形態について図面を参照して説明する。なお、本明細書及び図面において、実質的に同一の構成については、同一の符号を付することにより重複した説明を省く。
[プラズマ処理装置/基板支持装置]
まず、一実施形態に係るプラズマ処理装置及び基板支持装置について、図1を参照しながら説明する。図1は、一実施形態に係るプラズマ処理装置の縦断面図を示す。プラズマ処理装置1は、たとえば円筒型のチャンバCを有している。チャンバCは接地されている。チャンバCの下壁11の上には、基板支持装置10が設置される。チャンバCの天井部には、上部電極2が基板支持装置10に対向して配置される。上部電極2には、ガス供給源4が接続されている。ガス供給源4から供給されたガスは、上部電極2に設けられた多数のガス孔2aを通ってチャンバC内に導入される。上部電極2は、電極として機能するとともに、ガスを供給するためのシャワーヘッドとしても機能する。チャンバC内では、ガスから生成されたプラズマによりウェハにプラズマ処理が施される。
基板支持装置10は、上部電極2と対向する電極として機能するとともに、半導体ウェハ(以下、ウェハWと称呼する。)の基板を保持する保持機構としても機能する。
基板支持装置10は、載置台12、静電チャック13、絶縁部材14,15,16、及びフォーカスリング17を有する。基板支持装置10は、下壁11に固定されたリング形状の側壁18を有している。絶縁部材14は下壁11に固定されている。載置台12は、絶縁部材14の上面の上に配置される。載置台12は、たとえばアルミニウムから形成されている。絶縁部材15は、リング形状を有し、載置台12及び絶縁部材14の両方の周囲に配置されている。絶縁部材15は、側壁18の内面に接触した状態にある。
静電チャック13は、載置台12の上に固定されている。静電チャック13は、導電膜からなる電極13aを一対の絶縁シート13b及び絶縁シート13cの間に挟み込んだ構造を有する。電極13aには、DC電力供給源20が接続されている。DC電力供給源20から電圧が供給されると、ウェハWは、クーロン力によって静電チャック13上に吸着保持される。
静電チャック13の周縁部には、エッチングの面内均一性を高めるためのフォーカスリング17が配置されている。フォーカスリング17は、シリコンから形成されている。フォーカスリング17は、例えば石英等の誘電体材料から形成されてもよい。静電チャック13上には、処理されるべきウェハ(基板の一例)が搭載されている。フォーカスリング17は、1つの平面を作るようにリング形状をした絶縁部材16の内側の空間に配置されている。絶縁部材16は、絶縁部材15上に設けられている。
載置台12には、高周波電源21から整合回路22を通して高周波電流が供給される。載置台12は、絶縁部材14,15によって下壁11を含む処理チャンバから電気的に絶縁されている。
載置台12の中には、温度制御用の液体を流すための通路30が形成されている。通路30は、配管32,33を介してチラーユニット31に接続されている。チラーユニット31から出力された所定温度の冷媒は、配管32,33及び通路30を循環供給される。これにより、載置台12の温度は制御され、所望の値または範囲に維持される。
伝熱ガス供給源40は、Heガス等の伝熱ガスをガス供給ライン41に通して静電チャック13の上面(表面)とウェハWの下面(裏面)との間に供給する。これにより、伝熱ガスを介して静電チャック13とウェハWとの伝熱効率が向上する。
また、伝熱ガス供給源40は、Heガス等の伝熱ガスをガス供給ライン42,43に通して載置台12の上面(表面)とフォーカスリング17の下面(裏面)との間に供給する。これにより、伝熱ガスを介して載置台12とフォーカスリング17との間の伝熱効率が向上する。
制御部50は、DC電力供給源20、高周波電源21、整合回路22、チラーユニット31及び伝熱ガス供給源40を制御する。また、圧力計51は、ガス供給ライン43に取り付けられ、載置台12とフォーカスリング17との間に供給される伝熱ガスの圧力を計測する。圧力計51は、制御部50に接続され、計測した圧力値を制御部50に伝える。
制御部50は、圧力値に基づき、伝熱ガス供給源40から出力される伝熱ガスの流量を制御して、載置台12とフォーカスリング17との間に供給する伝熱ガスの圧力を制御する。例えば、伝熱ガスの流量を増やすと伝熱ガスの圧力が高くなり、これにより、伝熱効率が上がりフォーカスリング17の冷却が促進される。一方、伝熱ガスの流量を減らすと伝熱ガスの圧力が低くなり、これにより、伝熱効率が下がりフォーカスリング17の冷却が抑制される。制御部50は、フォーカスリング17と載置台12とが対向する面間に伝熱ガスを導入し、フォーカスリング17を温度調整する温調手段の一例である。
制御部50は、図示しないCPU(Central Processing Unit),ROM(Read Only Memory)、RAM(Random Access Memory)を有し、CPUは、RAMやROM等の記憶部に記憶されている各種レシピに従ってプラズマ処理やフォーカスリング17の温度調整を実行する。レシピは、記憶媒体に格納して提供され、図示しないドライバを介して記憶部に読み込まれるものであってもよく、また、図示しないネットワークからダウンロードされて記憶部に格納されるものであってもよい。また、上記各部の機能を実現するために、CPUに代えてDSP(Digital Signal Processor)が用いられてもよい。なお、制御部50の機能は、ソフトウエアを用いて動作することにより実現されてもよく、ハードウエアを用いて動作することにより実現されてもよい。
(磁性部材)
次に、フォーカスリング17と載置台12とが対向する面に設けられる磁性部材について説明する。図1及び図2に示したように、フォーカスリング17の下面には、磁性Oリング部材60,61が、フォーカスリング17の下面の内周側と外周側に設けられている。フォーカスリング17の下面と対向する載置台12の上面には、磁性Oリング部材60,61に対向する位置に磁性体62,63が設けられている。
磁性Oリング部材60,61は、ゴム製のOリング60a、61aの内部に磁石60b、61bが埋め込まれた構造を有する。かかる構成によれば、磁性Oリング部材60,61は、シール材として機能するとともに磁石としても機能する。
磁性Oリング部材60,61をフォーカスリング17に固定する方法としては、フォーカスリング17の下面の外周側と内周側とに溝を形成し、ゴム製のOリング60a、61aの収縮性を利用して磁性Oリング部材60,61を溝に嵌め込んでもよい。その際、フォーカスリング17の下面に形成する溝の形状に特異性を持たせてもよい。例えば、アリ溝加工やエントリーポイント、溝の深さに特異性を持たせ得る。
磁性体62,63は、例えば、プレート62a、63aに磁性体の材料62b、63bを溶射等によりコーティングした板状部材や磁性体の材料をコーティングした磁性シート等であってもよい。磁性体62,63は、処理チャンバ内の金属汚染を考慮して、載置台12から露出せず、載置台12内に埋め込まれていることが好ましい。磁性体62,63は、磁性Oリング部材60,61よりもシール性は劣るが、吸引力(クランプ力)に優れている。
磁性体62と磁性Oリング部材60とは、フォーカスリング17と載置台12とが対向する面の対向する位置に一対に設けられる。磁性体62と磁性Oリング部材60とは、NおよびSの磁極のうち互いに反対の磁極を持つ。磁性体63と磁性Oリング部材61も同様に、フォーカスリング17と載置台12とが対向する面の対向する位置に一対に設けられ、NおよびSの磁極のうち互いに反対の磁極を持つ。これにより、フォーカスリング17の下面と載置台12の上面との間に磁力によるクランプ力を発生させることができる。
また、磁性Oリング部材60,61によるシールによって、フォーカスリング17の下面と載置台12の上面との間には空間Sが画成される。この空間SにはHeガス等の伝熱ガスが充填される。これにより、フォーカスリング17及び載置台12間の伝熱効率を上げ、プラズマ処理時におけるプラズマからフォーカスリング17への入熱を載置台12へ効率よく伝達させることができる。これにより、フォーカスリング17を効率よく冷却することができる。
以上に説明した、磁性Oリング部材60,61及び磁性体62,63は、磁性部材の一例であって、磁性部材はこれに限られない。磁性部材の他の例としては、図3に示した磁石64、65であってもよい。
フォーカスリング17に配置する磁性部材と載置台12に配置する磁性部材との組み合わせは、磁性Oリング部材、磁性体、磁石のいずれを組み合わせてもよい。また、フォーカスリング17に配置する磁性部材と、載置台12の対応する位置に設けられる磁性部材とは、同種の組み合わせであってもよく、異種の組み合わせであってもよい。
例えば、図3(a)に示したように、フォーカスリング17の下面の外周側と内周側にOリング部材70,71を嵌め込んでシールするとともに、フォーカスリング17と載置台12との対向する面の中央位置に磁石64,65を設けてクランプ力を得るようにしてもよい。この場合にも、シールされた空間SをHeガス等の伝熱ガスで充填させ、フォーカスリング17への入熱を載置台12側に効率的に伝達させることが好ましい。
図3(b)にフォーカスリング17の下面を示したように、Oリング部材70,71はリング状に配置されてもよい。磁石64も同様にリング状に配置してもよい。図3(b)では、磁石64は、フォーカスリング17の周方向に等間隔に配置されている。このような磁石64の配置を実現するために、フォーカスリング17内に磁石64bを固定する方法の一例を図3(c)に示す。
図3(c)に示したように、留めネジ64aは、内側のパーツ及び外側のパーツの2つのパーツに分割されている。留めネジ64aの内側のパーツの中央に設けられた開口溝にはらせん状の溝が形成され、ネジ構造となっている。磁石64の周縁部にもらせん状の溝が形成され、ネジ構造となっている。留めネジ64aの材質は、樹脂又はセラミックス等の非磁性金属である。
まず、留めネジ64aの外側のパーツをフォーカスリング17に形成された溝17aの肩部に配置する。次に、留めネジ64aの内側のパーツを溝17aの中央に挿入する。次に、ネジ64aの中央に設けられた開口溝を開き、その開口部に磁石64bを挿入し、留めネジ64aにより磁石64bをネジ止めする。
フォーカスリング17に配置する磁性部材と載置台12に配置する磁性部材との組み合わせの他の例について、図4を参照しながら説明する。図4(a)では、フォーカスリング17の下面の外周側及び内周側に、磁性Oリング部材60及び磁性Oリング部材61が配置される。載置台12の上面の外周側には、磁性Oリング部材60に対向する位置に磁性体62が配置され、載置台12の上面の内周側には、磁性Oリング部材61に対向する位置に磁性Oリング部材59が配置される。これにより、各磁性部材によるクランプ機能と、磁性Oリング部材60,61、59によるシール機能が得られる。また、ガス供給ライン43から供給されるHeガスによりフォーカスリング17が温度調整される。
図4(b)では、フォーカスリング17の下面の外周側及び内周側に、磁性体62及び磁性Oリング部材61が配置される。載置台12の上面の外周側には、磁性体62に対向する位置に磁性Oリング部材60が配置され、載置台12の上面の内周側には、磁性Oリング部材61に対向する位置に磁石64が配置される。これにより、各磁性部材によるクランプ機能と、磁性Oリング部材60,61によるシール機能が得られる。また、ガス供給ライン43から供給されるHeガスによりフォーカスリング17が温度調整される。
図4(c)では、フォーカスリング17の下面の中央位置には、磁性体62が配置される。載置台12の上面の中央位置には、磁性体62に対向して磁石64が配置される。載置台12の上面の外周側及び内周側には、Oリング部材70及びOリング部材71が配置される。これにより、フォーカスリング17と載置台12との中央に配置した磁性部材によるクランプ機能と、載置台12の外周側及び内周側に配置したOリング部材70,71によるシール機能とが別々に得られる構造となっている。また、ガス供給ライン43から供給されるHeガスによりフォーカスリング17が温度調整される。
なお、図2〜図4に示された磁性部材の配置は単なる一例にすぎない。各種の磁性部材の配置は、いかなる配置も取り得る。また、フォーカスリング17と載置台12との対向位置に配置する磁性部材の種類は、いかなる組み合わせも取り得る。例えば、リング状の磁性部材をフォーカスリング17側及び載置台12側に周方向に1又は2以上配置してもよいし、棒状の磁性部材をフォーカスリング17側及び載置台12側に径方向に1又は2以上配置してもよい。また、磁性部材は等間隔に配置してもよいし、異なる間隔に配置してもよい。ただし、フォーカスリング17側及び載置台12側の内径側と外径側とに少なくとも2組の磁性部材を配置することが好ましい。フォーカスリング17及び載置台12間の空間Sに封入させるHeガスの体積を増やして伝熱効率を向上させることができる。また、クランプ力が均一になるように複数の磁性部材を配置することが好ましい。これにより、空間Sにおける温度分布を均一にすることで、伝熱効率を更によくすることができる。
[ギャップ]
フォーカスリング17及び載置台12間のギャップGp(図5参照)が広いと、フォーカスリング17と載置台12との間の空間SにHeガスを流入させても高い伝熱効率が得られない。高い伝熱効率を得るためには、ギャップGpは10μm程度が好ましい。空間Sの幅(図5では、Oリング70,71間の距離)は、概ね20mm〜30mm程度である。このため、高さがなく幅広い空間SにおいてHeは拡散しにくい状態となっている。そこで、フォーカスリング17と載置台12とが対向する面の少なくともいずれかに伝熱ガスを拡散するための溝12aを形成してもよい。これにより、伝熱効率を高めることができる。図5では、溝12aは載置台12側に形成されているが、これに限られない。溝12aは、空間Sを画成するフォーカスリング17の面又は載置台12の面のいずれか又は両方に設けられ得る。溝12aの形状は、リング状の場合、少なくとも1周形成され、2,3周形成されてもよい。また、溝12aの形状はこれに限られず、螺旋状の溝12aであってもよく、放射状の溝12aであってもよい。
更に伝熱効率を高めるために、フォーカスリング17の裏面に凹凸を形成し、フォーカスリング17の裏面の面積を広くするようにしてもよい。フォーカスリング17の裏面に凹凸を形成するための加工方法の一例としては、ブラストを用いることができる。加工方法の他の例としては、溶射を用いることができる。この場合、フォーカスリング17の裏面にシリコンを溶射して凹凸を作ることが好ましい。これにより、フォーカスリング17の表面積(伝熱面積)を増やしつつ、ギャップGpを数μm〜10μm以下にすることで伝熱効果を上げることができる。載置台12側に凹凸を形成してもよい。
更に、表面積を増やすために、空間Sを画成する面に特殊なシートを設けてもよい。例えば、直径数ナノ〜数十ナノメートルのカーボン・ナノチューブを1平方センチメートル当たり約100億本の密度で並べた粘着テープを、空間Sを画成するフォーカスリング17の裏面及び載置台12の表面の少なくともいずれかに貼り付けてもよい。これによれば、フォーカスリング17の裏面や載置台12の表面に成長させたカーボン・ナノチューブの粘着テープにより空間Sを画成する面の表面積を顕著に増やすことができる。これにより、伝熱効果を更に高めることができる。
(鉄板から離れた磁石の吸引力)
鉄板に吸着した磁石の場合、鉄板への吸着力は、表面磁束密度の2乗および鉄板との接触面積に比例する。同じように鉄板から離れた磁石の場合も、鉄板への吸引力は、「空間磁束密度の2乗および鉄板に相対する磁石の面積に比例する」と考えてよい。ここで、空間磁束密度とは、磁石から離れて鉄板が置かれた位置での磁束密度をいう。但し、同時に「鉄板への吸引力は距離の2乗に反比例する」という関係があり、話は少し複雑になる。
ただし、2乗反比例則は、微小磁極に関する「クーロンの法則」によるもので、実際の磁石は、2乗反比例則のとおりにはならず、形状によって大きく異なる。
図6(a)は、φ20mm×10mmのネオジム磁石(Neo48)の吸引力、空間磁束密度Bpと鉄板からの距離Xとの関係を示したグラフである。このグラフによれば、空間磁束密度Bpは、磁石からの距離が遠くなるほどその大きさは小さくなる。また、磁束密度は、磁石の残留磁束密度の値や磁石の形状によっても異なる。例えば、図7を参照すると、磁束密度と吸着力とは比例しないことがわかる。つまり、磁束密度は、磁化方向に対して磁石の高さが厚ければ強くなる。
したがって、吸引力の大きさも同様に、残留磁束密度や磁石の形状によって異なり、距離が遠くなるほど弱くなる。図6(a)に示されるように、距離Xが「0」のときの値が表面磁束密度および吸着力になる。
(磁石と鉄板との間の物質の影響)
磁極の異なる磁石間に全く吸着しない物質が間に入っても、物質は空気と同じように磁力線を通すため、空間磁束密度Bpは、前述の鉄板から離れた磁石の吸引力の場合と同じように考えることができる。 例えば、磁石間にプラスチック、ガラス、ゴム、紙、木等が挿入されていた場合等がこれに当たる。また、アルミニウム、ステンレスのように磁石に吸着しない(非磁性)金属も同様である。一方、磁石に吸着する物質が磁石と鉄板との間に入ると磁力線を遮断(吸収)する。このため、鉄板に対する吸引力が低下するか全く吸引しなくなる。但し、磁性体であっても非常に薄い物質であれば、磁力線のほとんどは通過する。ネオジム磁石は磁性金属のニッケル(めっき)で覆われているが、10ミクロン程度の薄い膜のため磁石の性能にはあまり影響しない。
(磁石同士の吸引(吸着)力)
着磁した1組の同形状の磁石がそれぞれN極、S極で対向している場合は、対向相手が鉄板の場合の距離をおよそ1/2に狭めた場合と同等の空間(表面)磁束密度が得られるため、非常に強い吸引(吸着)力が生じる。
[その他の構造]
一実施形態に係る基板支持装置10のフォーカスリング17付近のその他の構造例について、図8を参照しながら説明する。図8は、一実施形態に係るフォーカスリング17付近のその他の構造例を示す。ここでは、ギャップGpにHeガスを充填する温調手段の替わりに、伝熱シート80をギャップGpに介在させる。伝熱シート80は、フォーカスリング17側に貼り付けてもよいし、載置台12側に貼り付けてもよいし、両方の間に埋め込んでもよい。
図8(a)に示した例では、フォーカスリング17の下面には、中央に磁性体64が配置される。載置台12の対向する位置には、磁石65が配置される。ギャップGpには伝熱シート80が設けられている。
図8(b)に示した例では、フォーカスリング17の下面には、重り73が配置される。フォーカスリング17は、シリコンで形成されていて軽い。そこで、フォーカスリング17内に重量の大きい物質を埋設する。これにより、重力によってフォーカスリング17と載置台12との密着性を高めることができる。重り73は、タングステンや金であってもよい。ただし、処理チャンバ内の金属汚染を回避するために、重り73はプラズマ空間に露出させないようにフォーカスリング17内に埋設させることが好ましい。ギャップGpには伝熱シート80が設けられている。
また、図8(a)及び図8(b)では、シート部材を図示していないが、図8(c)に示した例のように、フォーカスリング17と載置台12とが対向する面の少なくともいずれかに伝熱シート80を設けるとともに、伝熱シート80による処理チャンバ内の汚染対策として、Oリングの素材でコーティングしたシート部材81(板状部材)をフォーカスリング17の内周側と外周側とに配置してもよい。Oリングの素材は、例えば、耐ラジカル性のあるパーフロロエラストラマー(FFKM)やシリコンであってもよい。なお、図8(c)では、フォーカスリング17の下面の中央には磁石64が配置され、載置台12の対向位置には、磁性体62が配置される。
なお、図8では、伝熱ガスのガス供給ラインを図示していないが、フォーカスリング17と載置台12とが対向する面の少なくともいずれかに伝熱シートを設けるとともに、フォーカスリング17と載置台12とが対向する面間に伝熱ガスを導入してもよい。この場合にも、フォーカスリング17と載置台12とが対向する面には磁性部材が設けられる。
[位置ずれ防止機能]
図9(a)に示されるように、静電チャック13の周縁部とフォーカスリング17の内周との間には、隙間Dが設けられている。隙間Dは、フォーカスリング17に割れを生じさせないために必要である。つまり、静電チャック13とフォーカスリング17との熱膨張率が異なるために、プロセス中、静電チャック13はフォーカスリング17よりも熱膨張する。この結果、静電チャック13の周縁部が、フォーカスリング17の内周に接触及び押圧し、フォーカスリング17が破損する場合がある。これを避けるために、隙間Dは、径方向に均等な間隔であることが好ましい。
隙間Dが均等になるためには、静電チャック13とフォーカスリング17との位置決めが必要になる。位置決め方法の一例としては、図9(b)に示されるように、フォーカスリング17の裏面に複数の窪み部17b(図9(b)では一つのみ図示)を設け、載置台12から突出する位置決めピン90を窪み部17bに挿入することで、両部材の位置決めを行う方法が挙げられる。しかし、この方法では、熱膨張を考慮して窪み部17bは、位置決めピン90よりも径方向の幅が広く形成されている。よって、フォーカスリング17の位置は、窪み部17bの範囲内で位置決めピン90が動く分だけずれる可能性がある。
これに対して、本実施形態に係る基板支持装置10では、図9(c)に示されるように、フォーカスリング17と載置台12とが対向する面に磁石66,67が設けられる。これにより、プロセス中の入熱による応力によってフォーカスリング17が多少ずれたとしても、磁力により磁石66は磁石67の上方まで自動的に戻る。その結果、静電チャック13に対してフォーカスリング17を正しい位置に戻すことができる。これにより、静電チャック13とフォーカスリング17との間の隙間Dが径方向に均等な幅に維持される。
なお、磁石66,67の替わりに他の磁性部材を用いることもできる。磁石66,67等の磁性部材は、フォーカスリング17の内径の中心からの距離と載置台12の中心からの距離とが等しくなる位置に、フォーカスリング17側及び載置台12側にてそれぞれ複数個設けられることが好ましい。また、一対又は2対以上のリング状の磁性部材を、フォーカスリング17の内径の中心からの距離と載置台12の中心からの距離とが等しくなる位置に配置してもよい。
以上に説明した、本実施形態に係るプラズマ処理装置によれば、基板支持装置10の載置台12とフォーカスリング17との間の熱伝達効率を向上させ、フォーカスリング17の温度調整を良好にすることができる。
以上、プラズマ処理装置及び基板支持装置を一実施形態により説明したが、本発明は上記実施形態に限定されるものではなく、本発明の範囲内で種々の変形及び改良が可能である。また、上記実施形態及び変形例を矛盾しない範囲で組み合わせることができる。
[プラズマ処理装置]
以上に説明した基板支持装置は、プラズマにより基板を処理する各種プラズマ処理装置に適用可能である。例えば、プラズマ処理装置は、エッチング処理装置、アッシング処理装置、成膜処理装置等、プラズマの作用により基板を処理するすべての装置を含み得る。その際、プラズマ処理装置にてプラズマを発生させる手段としては、容量結合型プラズマ(CCP:Capacitively Coupled Plasma)発生手段、誘導結合型プラズマ(ICP:Inductively Coupled Plasma)発生手段、ヘリコン波励起型プラズマ(HWP:Helicon Wave Plasma)発生手段、ラジアルラインスロットアンテナから生成したマイクロ波プラズマやSPA(Slot Plane Antenna)プラズマを含むマイクロ波励起表面波プラズマ発生手段、電子サイクロトロン共鳴プラズマ(ECR:Electron Cyclotron Resonance Plasma)発生手段、上記発生手段を用いたリモートプラズマ発生手段等を用いることができる。
本発明において処理を施される基板は、(半導体)ウェハに限られず、例えば、フラットパネルディスプレイ(Flat Panel Display)用の大型基板、EL素子又は太陽電池用の基板であってもよい。
10:基板支持装置
11:プラズマ処理装置(チャンバ)下壁
12:載置台
12a:溝
13:静電チャック
17:フォーカスリング
17b:窪み部
20:DC電力供給源
21:高周波電源
40:伝熱ガス供給源
50:制御部
59,60,61:磁性Oリング部材
62,63:磁性体
64,65,66,67:磁石
73:重り
80:伝熱シート
D:隙間
Gp:ギャップ

Claims (7)

  1. 基板を載置する載置台と、前記載置台の周縁部に置かれたフォーカスリングと、を有するプラズマ処理装置であって、
    前記フォーカスリングの下面と前記載置台とが対向する面に上下方向に互いに離間して設けられた複数の磁性部材と、
    前記フォーカスリングの下面と前記載置台とが対向する面間に伝熱ガスを導入するための10μm以下のギャップを設け、前記フォーカスリングを温度調整する温調手段と、
    を有し、
    前記載置台と対向する前記フォーカスリングの下面に凹凸を形成する、
    ことを特徴とするプラズマ処理装置。
  2. 前記複数の磁性部材のそれぞれは、磁石、磁性Oリング及び磁性体のいずれかであり、前記フォーカスリングの下面と前記載置台とが対向する面の対向する位置に一対に設けられる、
    ことを特徴とする請求項1に記載のプラズマ処理装置。
  3. 前記複数の磁性部材は、前記フォーカスリングの下面と前記載置台とが対向する面のうち、前記フォーカスリングの内径の中心からの距離と前記載置台の中心からの距離とが等しくなる位置に一対に設けられる、
    ことを特徴とする請求項2に記載のプラズマ処理装置。
  4. 前記温調手段は、前記伝熱ガスの圧力を制御することで、前記フォーカスリングを温度調整する、
    ことを特徴とする請求項1〜3のいずれか一項に記載のプラズマ処理装置。
  5. 前記フォーカスリングの下面と前記載置台とが対向する面のうちの少なくともいずれかの面に前記伝熱ガスを拡散するための溝を形成する、
    ことを特徴とする請求項1〜4のいずれか一項に記載のプラズマ処理装置。
  6. 前記フォーカスリングの下面と前記載置台とが対向する面の少なくともいずれかに、更に伝熱シートを設ける、
    ことを特徴とする請求項1〜5のいずれか一項に記載のプラズマ処理装置。
  7. 前記載置台は、静電チャックを含む、
    ことを特徴とする請求項1〜6のいずれか一項に記載のプラズマ処理装置。
JP2013171081A 2013-08-21 2013-08-21 プラズマ処理装置 Active JP6689020B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2013171081A JP6689020B2 (ja) 2013-08-21 2013-08-21 プラズマ処理装置
KR1020140105794A KR102175862B1 (ko) 2013-08-21 2014-08-14 플라즈마 처리 장치
US14/461,537 US10622196B2 (en) 2013-08-21 2014-08-18 Plasma processing apparatus
TW103128379A TWI636153B (zh) 2013-08-21 2014-08-19 電漿處理裝置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013171081A JP6689020B2 (ja) 2013-08-21 2013-08-21 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2015041451A JP2015041451A (ja) 2015-03-02
JP6689020B2 true JP6689020B2 (ja) 2020-04-28

Family

ID=52479300

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013171081A Active JP6689020B2 (ja) 2013-08-21 2013-08-21 プラズマ処理装置

Country Status (4)

Country Link
US (1) US10622196B2 (ja)
JP (1) JP6689020B2 (ja)
KR (1) KR102175862B1 (ja)
TW (1) TWI636153B (ja)

Families Citing this family (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
WO2017051748A1 (ja) 2015-09-25 2017-03-30 住友大阪セメント株式会社 静電チャック装置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107316795B (zh) * 2016-04-26 2020-01-03 北京北方华创微电子装备有限公司 一种聚焦环和等离子体处理装置
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10753840B2 (en) * 2016-08-29 2020-08-25 Alexandre N. Terentiev Sensor for seal applications and related methods
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9922857B1 (en) * 2016-11-03 2018-03-20 Lam Research Corporation Electrostatically clamped edge ring
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP7033926B2 (ja) * 2017-04-26 2022-03-11 東京エレクトロン株式会社 プラズマ処理装置
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6797079B2 (ja) * 2017-06-06 2020-12-09 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
CN111226309B (zh) * 2017-11-06 2023-09-19 日本碍子株式会社 静电卡盘组件、静电卡盘及聚焦环
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11043400B2 (en) * 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7204350B2 (ja) * 2018-06-12 2023-01-16 東京エレクトロン株式会社 載置台、基板処理装置及びエッジリング
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
JP7228989B2 (ja) * 2018-11-05 2023-02-27 東京エレクトロン株式会社 載置台、エッジリングの位置決め方法及び基板処理装置
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7129325B2 (ja) * 2018-12-14 2022-09-01 東京エレクトロン株式会社 搬送方法及び搬送システム
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111326390B (zh) * 2018-12-17 2023-09-12 中微半导体设备(上海)股份有限公司 射频电极组件和等离子体处理设备
KR102244438B1 (ko) 2018-12-17 2021-04-27 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 플라즈마 처리 장치에 사용되는 rf 전극 조립품 및 플라즈마 처리 장치
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP7340938B2 (ja) * 2019-02-25 2023-09-08 東京エレクトロン株式会社 載置台及び基板処理装置
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
JP2021027152A (ja) * 2019-08-05 2021-02-22 キオクシア株式会社 プラズマ処理装置およびプラズマ処理方法
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR102335472B1 (ko) * 2019-09-04 2021-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210044568A (ko) * 2019-10-15 2021-04-23 삼성전자주식회사 식각 장치
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP7308767B2 (ja) * 2020-01-08 2023-07-14 東京エレクトロン株式会社 載置台およびプラズマ処理装置
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20220164013A (ko) * 2020-04-02 2022-12-12 램 리써치 코포레이션 통합된 시일들 (seals) 을 갖는 냉각된 에지 링
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102608903B1 (ko) * 2021-04-12 2023-12-04 삼성전자주식회사 플라즈마 식각 장치 및 방법
JPWO2022224887A1 (ja) 2021-04-21 2022-10-27
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023114542A (ja) 2022-02-07 2023-08-18 東京エレクトロン株式会社 基板処理装置及び位置ずれ補正方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04358071A (ja) * 1991-06-05 1992-12-11 Mitsubishi Electric Corp 真空処理装置
JPH11330047A (ja) * 1998-05-12 1999-11-30 Sony Corp エッチング装置及びエッチング方法
JP2000036486A (ja) * 1998-07-16 2000-02-02 Toshiba Corp プラズマ処理装置及び方法
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6946511B2 (en) * 2002-10-29 2005-09-20 Dupont Dow Elastomers, Llc Plasma resistant elastomer parts
JP4547182B2 (ja) * 2003-04-24 2010-09-22 東京エレクトロン株式会社 プラズマ処理装置
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP4386753B2 (ja) 2004-02-19 2009-12-16 キヤノンアネルバ株式会社 ウェハーステージ及びプラズマ処理装置
JP4695606B2 (ja) 2007-01-09 2011-06-08 東京エレクトロン株式会社 被処理基板の載置装置におけるフォーカスリングの熱伝導改善方法
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
JP2010171344A (ja) 2009-01-26 2010-08-05 Tokyo Electron Ltd 真空処理装置
JP2010232476A (ja) * 2009-03-27 2010-10-14 Tokyo Electron Ltd プラズマ処理装置
JP5496630B2 (ja) * 2009-12-10 2014-05-21 東京エレクトロン株式会社 静電チャック装置
JP5642531B2 (ja) * 2010-12-22 2014-12-17 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5741124B2 (ja) * 2011-03-29 2015-07-01 東京エレクトロン株式会社 プラズマ処理装置
JP5762798B2 (ja) 2011-03-31 2015-08-12 東京エレクトロン株式会社 天井電極板及び基板処理載置
JP5313375B2 (ja) * 2012-02-20 2013-10-09 東京エレクトロン株式会社 プラズマ処理装置およびフォーカスリングとフォーカスリング部品

Also Published As

Publication number Publication date
US20150053348A1 (en) 2015-02-26
KR20150021889A (ko) 2015-03-03
KR102175862B1 (ko) 2020-11-06
TW201522702A (zh) 2015-06-16
TWI636153B (zh) 2018-09-21
US10622196B2 (en) 2020-04-14
JP2015041451A (ja) 2015-03-02

Similar Documents

Publication Publication Date Title
JP6689020B2 (ja) プラズマ処理装置
JP5029089B2 (ja) プラズマ処理装置用の載置台及びプラズマ処理装置
JP5233092B2 (ja) プラズマ処理装置用の載置台及びプラズマ処理装置
JP6204869B2 (ja) プラズマ処理装置及びプラズマ処理方法
TWI409874B (zh) And a plasma processing apparatus for a plasma processing apparatus
JP5348919B2 (ja) 電極構造及び基板処理装置
JP2009188162A (ja) 基板載置台、基板処理装置及び被処理基板の温度制御方法
JP6552346B2 (ja) 基板処理装置
JP2012038682A (ja) プラズマ処理装置及びプラズマ制御方法
US20060037702A1 (en) Plasma processing apparatus
TW200539258A (en) Wafer stage
JP2016127090A (ja) 載置台及びプラズマ処理装置
US8741098B2 (en) Table for use in plasma processing system and plasma processing system
JP2010157559A (ja) プラズマ処置装置
JP2019109980A (ja) プラズマ処理装置
JP2011205000A (ja) 載置台
KR101216701B1 (ko) 건식 식각 장치
KR20140004129A (ko) 플라즈마 프로세싱 챔버들 내로 가스를 전달하기 위한 방법들 및 장치
US20120241092A1 (en) Plasma processing apparatus
KR100844150B1 (ko) 플라즈마 처리 장치 및 방법
JP2004006813A (ja) 静電吸着ホルダー及び基板処理装置
JP5479061B2 (ja) プラズマ処理装置
JP5661513B2 (ja) プラズマ処理装置
JP2010050396A (ja) プラズマ処理装置
JP2006060073A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160502

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170223

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170413

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170926

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180420

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20180911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190124

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200120

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200407

R150 Certificate of patent or registration of utility model

Ref document number: 6689020

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250