TWI636153B - 電漿處理裝置 - Google Patents

電漿處理裝置 Download PDF

Info

Publication number
TWI636153B
TWI636153B TW103128379A TW103128379A TWI636153B TW I636153 B TWI636153 B TW I636153B TW 103128379 A TW103128379 A TW 103128379A TW 103128379 A TW103128379 A TW 103128379A TW I636153 B TWI636153 B TW I636153B
Authority
TW
Taiwan
Prior art keywords
mounting table
focus ring
ring
magnetic
plasma processing
Prior art date
Application number
TW103128379A
Other languages
English (en)
Other versions
TW201522702A (zh
Inventor
長山將之
佐藤直行
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201522702A publication Critical patent/TW201522702A/zh
Application granted granted Critical
Publication of TWI636153B publication Critical patent/TWI636153B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma Technology (AREA)

Abstract

以讓載置台與聚焦環之間的導熱效率向上提升,並可變化地控制聚焦環之溫度為目的。
提供一種電漿處理裝置,係具有載置基板的載置台以及被置放於該載置台之邊緣部周圍的聚焦環之電漿處理裝置,其具有:複數個磁性構件,係設置於該聚焦環與該載置台所對向的面上;以及溫調裝置,係將導熱氣體導入至該聚焦環與該載置台所對向的面間,來溫度調整該聚焦環。

Description

電漿處理裝置
本發明係關於一種電漿處理裝置。
半導體裝置之製造過程中,係對為被處理體的半導體晶圓(以下,稱為「晶圓」)實施蝕刻、成膜等電漿處理。
實施電漿處理的電漿處理裝置中,係在載置晶圓的載置台上包圍晶圓周圍的包圍位置處配置有聚焦環。聚焦環係具有讓產生在晶圓上方的電漿分布域不僅在晶圓上而是擴大至聚焦環上,而確保於晶圓全面所實施的電漿處理均勻性的作用。
電漿處理時,晶圓及聚焦環係直接暴露於電漿,且成為高溫。因此,較佳是藉由控制載置台之溫度來控制晶圓之溫度,並控制聚焦環之溫度來冷卻聚焦環。此時,當載置台與聚焦環之間的導熱效率較低時,從電漿而來的熱便無法效率良好地從聚焦環傳導至載置台,使得聚焦環之溫度調整變得困難。於是,便揭示有一種將矽膠等導熱片固定於聚焦環,使得載置台與聚焦環之熱導效率向上提升的技術(例如,參照專利文獻1)。
[先前技術文獻] [專利文獻]
[專利文獻1]日本特開2008-171899號公報
然而,導熱片為黏著式,會因為貼附至聚焦環之方法或導熱片自身的個體差異使得導熱效果有所變化。亦即,當導熱片之黏著力較弱時,聚焦環與載置台之密著性便會變差,便無法效率良好地將熱從聚焦環傳導至載置台。又,會有發生從導熱片漏氣之虞。進一步地,導熱片係無法簡單地從聚焦環剝除。因此,聚焦環之溫度會因為導熱片之規格而被固定,故要可變地控制聚焦環之溫度是很困難的。
針對上述問題,便以讓載置台與聚焦環之間的導熱效率向上提升,並可變地控制聚焦環之溫度為目的。
為了解決上述問題,根據一態樣而提供一種電漿處理裝置,係具有載置基板的載置台以及被置放於該載置台之邊緣部周圍的聚焦環之電漿處理裝置,其具有:複數個磁性構件,係設置於該聚焦環與該載置台所對向的面上;以及溫調裝置,係將導熱氣體導入至該聚焦環與該載置台所對向的面間,來溫度調整該聚焦環。
根據一態樣,可讓載置台與聚焦環之間的導熱效率向上提升,並可變地控制聚焦環之溫度。
10‧‧‧基板支撐裝置
11‧‧‧電漿處理裝置(腔室)下壁
12‧‧‧載置台
13‧‧‧靜電吸盤
17‧‧‧聚焦環
17b‧‧‧低漥部
20‧‧‧DC電力供給源
21‧‧‧高頻電源
40‧‧‧導熱氣體供給源
50‧‧‧控制部
59、60、61‧‧‧磁性O型環
62、62‧‧‧磁性體
64、65、66、67‧‧‧磁鐵
73‧‧‧錘
80‧‧‧導熱片
D‧‧‧間隙
Gp‧‧‧空隙
圖1係一實施形態相關的電漿處理裝置之縱剖面圖。
圖2係一實施形態相關的聚焦環周圍之構造的一範例。
圖3係一實施形態相關的聚焦環周圍之構造的其他範例。
圖4係一實施形態相關的聚焦環周圍之構造的其他範例。
圖5係用以說明一實施形態相關的聚焦環之溫度調整的圖式。
圖6係用以說明一實施形態相關的鐵板之距離與吸引力的圖表。
圖7係用以說明一實施形態相關的磁通量密度與吸附力之關係的圖式。
圖8係一實施形態相關的聚焦環周圍之構造的其他範例。
圖9係用以說明利用一實施形態相關的磁力之位置自動調整的圖式。
以下,就用以實施本發明之形態便參照圖式來加以說明。另外,本說明書與圖式中,就實質上相同的構成係藉由給予相同符號來省略重複的說明。
[電漿處理裝置/基板支撐裝置]
首先,就一實施形態相關的電漿處理裝置與基板支撐裝置便參照圖1來加以說明。圖1係顯示一實施形態相關的電漿處理裝置之縱剖面圖。電 漿處理裝置1係具有例如圓筒型腔室C。腔室C係被加以接地。腔室C下壁11之上係設置有基板支撐裝置10。腔室C之頂部係對向於基板支撐裝置10而配置有上部電極2。上部電極2係連接有氣體供給源4。由氣體供給源4所供給的氣體係通過上部電極2上所設置的多數氣孔2a而導入至腔室C內。上部電極2係作為電極的功能,且亦作為用以供給氣體之噴頭的功能。腔室C內,係藉由由氣體所生成的電漿來對晶圓實施電漿處理。
基板支撐裝置10係作為與上部電極2對向之電極的功能,亦作為保持半導體晶圓(以下,稱為晶圓W)之基板的保持機構的功能。
基板支撐裝置10係具有載置台12、靜電吸盤13、絕緣構件14、15、16與聚焦環17。基板支撐裝置10係具有固定於下壁11的環狀側壁18。絕緣構件14係固定於下壁11。載置台12係配置於絕緣構件14上面之上。載置台12係由例如鋁所形成。絕緣構件15係具有環形,並且被加以配置於載置台12與絕緣構件14兩者的周圍。絕緣構件15乃接觸到側壁18內面之狀態。
靜電吸盤13係固定於載置台12上。靜電吸盤13係具有將導電膜所構成的電極13a夾入至一對絕緣片13b與絕緣片13c之間的構造。電極13a係連接有DC電力供給源20。當供給來自DC電力供給源20之電壓時,晶圓W係藉由庫倫力而被吸附保持在靜電吸盤13上。
靜電吸盤13之邊緣部係配置有用以提高蝕刻面內均勻性的聚焦環17。聚焦環17係由矽所形成。聚焦環17亦可由例如石英等介電體材料所形成。靜電吸盤13上係搭載有應加以處理的晶圓(基板之一範例)。聚焦環17係以形成一個平面之方式而被配置於為環狀的絕緣構件16之內側空間。絕緣構件16係設置於絕緣構件15上。
載置台12係從高頻電源21通過匹配電路22而被供給有高頻電流。載置台12係藉由絕緣構件14、15來與包含有下壁11的處理腔室電性絕緣。
載置台12中係形成有用以流過溫度控制用液體的通路30。通路30係透過配管32、33而連接至冷卻單元31。從冷卻單元31所輸出之既定溫度的冷媒係被循環供給於配管32、33及通路30。藉此,載置台12之溫度便會被加以控制並維持在所欲的數值或範圍。
導熱氣體供給源40係將He氣等導熱氣體流通至氣體供給管線41而供 給至靜電吸盤13上面(表面)與晶圓W下面(內面)之間。藉此,透過導熱氣體,靜電吸盤13與晶圓W之導熱效率便會向上提升。
又,導熱氣體供給源40係將He氣等導熱氣體流通至氣體供給管線42、43而供給至載置台12上面(表面)與聚焦環17下面(內面)之間。藉此,透過導熱氣體,載置台12與聚焦環17之間的導熱效率便會向上提升。
控制部50係控制DC電力供給源20、高頻電源21、匹配電路22、冷卻單元31及導熱氣體供給源40。又,壓力計51係安裝於氣體供給管線43,並量測被供給至載置台12與聚焦環17之間的導熱氣體之壓力。壓力計51係連接至控制部50,並將量測到的壓力值傳遞至控制部50。
控制部50係基於壓力值來控制由導熱氣體供給源40所輸出的導熱氣體之流量,而控制供給至載置台12與聚焦環17之間的導熱氣體之壓力。例如,當增加導熱氣體之流量時,導熱氣體之壓力會變高,藉此,導熱效率便會提升,並促進聚焦環17之冷卻。另一方面,當減少導熱氣體之流量時,導熱氣體之壓力則會變低,藉此,導熱效率便會下降,並抑制聚焦環17之冷卻。控制部50係將導熱氣體導入至聚焦環17與載置台12所對向的面間,並為溫度調整聚焦環17之溫調裝置的一範例。
控制部50係具有未圖示的CPU(Central Processing Unit)、ROM(Read Only Memory)、RAM(Random Access Memory),且CPU係依據RAM或ROM等記憶部所儲存的各種配方來執行電漿處理或聚焦環17之溫度調整。配方可為儲存於記憶媒體而被加以提供,並透過未圖示的驅動器而被讀入至記憶部者,又亦可為從未圖示的網路所下載而儲存於記憶部者。又,為了實現上述各部之功能,亦可替代CPU而使用DSP(Digital Signal Processor)。另外,控制部50之功能可藉由使用軟體而作動來加以實現,亦可使用硬體而作動來加以實現
(磁性構件)
接著,就設置於聚焦環17與載置台12所對向的面上的磁性構件來加以說明。如圖1及圖2所示,聚焦環17下面係於聚焦環17下面的內周側與外周側上設置有磁性O型環構件60、61。與聚焦環17下面對向的載置台12上面係在對向於磁性O型環構件60、61的位置上設置有磁性體62、63。
磁性O型環構件60、61係具有在橡膠製O型環60a、61a內部埋入有磁鐵60b、61b的構造。根據相關的構成,磁性O型構件60、61便有作為密封件的功能,且亦作為磁鐵的功能。
將磁性O型環構件60、61固定於聚焦環17之方法係可在聚焦環17下面的外周側與內周側形成溝,並利用橡膠製O型環60a、61a之收縮性而將磁性O型環構件60、61嵌入至溝。此時,亦可讓形成在聚焦環17下面的構之形狀保有特異性。例如,可讓鳩尾溝加工或入刀點、溝深度上保有特異性。
磁性體62、63可為例如利用熱噴塗等將磁性體材料62b、63b塗佈於板62a、63a的板狀構件,或塗佈了磁性體材料的磁性片等。磁性體62、63係考量處理腔室內的金屬污染而較佳是不從載置台12暴露出來,而埋入至載置台12內。磁性體62、63雖然較磁性O型環構件60、61密封性要差,但吸引力(夾持力)卻較優異。
磁性體62與磁性O型環構件60係在聚焦環17與載置台12所對向的面之對向位置上設置一對。磁性體62與磁性O型環構件60係持有N與S磁極中相互相反的磁極。磁性體63與磁性O型環構件61亦同樣地在聚焦環17與載置台12所對向的面之對向位置上設置一對,並持有N與S磁極中相互相反的磁極。藉此,可讓因磁力之夾持力產生於聚焦環17下面與載置台12上面之間。
又,利用藉由磁性O型環構件60、61之密封,聚焦環17下面與載置台12上面之間便區劃出空間S。此空間S係充填有He氣等導熱氣體。藉此,來提升聚焦環17與載置台12間的導熱效率,便可讓電漿處理時從電漿進入至聚焦環17的熱效率良好地傳導至載置台12。藉此,可效率良好地冷卻聚焦環17。
於以上所說明,磁性O型環構件60、61與磁性體62、63係磁性構件之一範例,而磁性構件係不限於此。磁性構件之其他範例亦可為圖3所示之磁鐵64、65。
配置於聚焦環17的磁性構件與配置於載置台12的磁性構件之組合可為組合磁性O型環構件、磁性體、磁鐵之任一者。又,配置於聚焦環17的磁性構件與載置台12之對應位置上所設置的磁性構件可為同種的組合,亦 可為異種的組合。
例如,如圖3(a)所示,亦可將O型環構件70、71嵌入至聚焦環17下面的外周側與內周側而加以密封,並在聚焦環17與載置台12之對向的面之中央位置上設置磁鐵64、65而取得夾持力。此情形中,較佳亦是讓He氣等導熱氣體充填被密封的空間S,來讓進入至聚焦環17的熱效率良好地傳導至載置台12側。
如圖3(b)所顯示聚焦環17之下面般,O型環構件70、71可環狀地加以配置。磁鐵64亦可同樣地配置為環狀。圖3(b)中,磁鐵64係等間隔地被配置在聚焦環17之圓周方向上。為了實現此般磁鐵64之配置,便於圖3(c)顯示將磁鐵64b固定於聚焦環17內之方法的一範例。
如圖3(c)所示,螺固螺絲64a係分成內側部件及外側部件之2個部件。螺固螺絲64a之內側部件中央所設置的開口部係形成有螺旋狀的溝,並成為螺紋構造。磁鐵64之邊緣部亦形成有螺旋狀的溝,並成為螺紋構造。螺固螺絲64a之材質為樹脂或陶瓷等非磁性金屬。
首先,將螺固螺絲64a之外側部件配置於聚焦環17所形成的溝17a之肩部。接著,將螺固螺絲64a之內側部件插入至溝17a之中央。接著,打開螺絲64a中央所設置的開口部,將磁鐵64b插入至此開口部,並藉由螺固螺絲64a來螺固磁鐵64b。
就配置於聚焦環17的磁性構件與配置於載置台12的磁性構件之組合的其他範例,便參照圖4來加以說明。圖4(a)中,聚焦環17下面之外周側與內周側係配置有磁性O型環構件60與磁性O型環構件61。載置台12上面之外周側係在對向於磁性O型環構件60的位置上配置有磁性體62,且載置台12上面之內周側係在對向於磁性O型環構件61的位置上配置有磁性O型環構件59。藉此,便得到因各磁性構件之夾持功能,以及因磁性O型環構件60、61、59之密封功能。又,藉由從氣體供給管線43所供給的He氣來溫度調整聚焦環17。
圖4(b)中,聚焦環17下面之外周側與內周側係配置有磁性體62與磁性O型環構件61。載置台12上面之外周側係在對向於磁性體62的位置上配置有磁性O型環構件60,且載置台12上面之內周側係在對向於磁性O型環構件61的位置上配置有磁鐵64。藉此,便得到因各磁性構件之夾持功 能,以及因磁性O型環構件60、61之密封功能。又,藉由從氣體供給管線43所供給的He氣來溫度調整聚焦環17。
圖4(c)中,聚焦環17下面之中央位置係配置有磁性體62。載置台12上面之中央位置係對向於磁性體62而配置有磁鐵64。載置台12上面之外周側與內周側係配置有O型環構件70與O型環構件71。藉此,便成為分別得到因配置於聚焦環17與載置台12之中央的磁性構件的夾持功能,以及因配置於載置台12之外周側與內周側之O型環構件70、71之密封功能之構造。又,藉由從氣體供給管線43所供給的He氣來溫度調整聚焦環17。
另外,圖2~圖4所示之磁性構件的配置只不過僅是一範例。各種磁性構件之配置可為任何配置。又,配置於聚焦環17側與載置台12之對向位置上的磁性構件之種類可為任何組合。例如,可在聚焦環17側與載置台12側之圓周方向上配置1或2個以上的環狀磁性構件,亦可在聚焦環17側與載置台12側之徑向上配置1或2個以上的棒狀磁性構件。又,磁性構件可等間隔地加以配置,亦可不同間隔地加以配置。但是,較佳是將至少2組磁性構件配置於聚焦環17側與載置台12側之內徑側及外徑側。可增加封入至聚焦環17與載置台12間的空間S之He氣的體積來讓導熱效率向上提升。又,較佳是以夾持力會變得均勻之方式來配置複數個磁性構件。藉此,可藉由讓空間S中的溫度分布均勻,來讓導熱效率更好。
[空隙]
當聚焦環17與載置台12間的空隙Gp(參照圖5)越寬時,即使讓He氣流入至聚焦環17與載置台12間的空間S,也無法得到高導熱效率。要得到高導熱效率,空隙Gp較佳是10μm左右。空間S之寬度(圖5中為O型環70、71間的距離)為大約20mm~30mm左右。因此,在寬廣而不高的空間S中,He係成為難以擴散之狀態。於是,可在聚焦環17與載置台12所對向的面之至少一者上形成用以擴散導熱氣體的溝12a。藉此,可提高導熱效率。圖5中,溝12a雖然被形成在載置台12側,但不限於此。溝12a係可設置在區劃空間S的聚焦環17之面或載置台12之面中任一者或兩者上。溝12a之形狀在環狀之情形中係至少形成1圈,且亦可形成2、3圈。又,溝12a之形狀不限於此,可為螺旋狀的溝12a,亦可為放射狀的溝12a。
為了更提高導熱效率,可在聚焦環17內面形成凹凸,來讓聚焦環17 內面之面積變大。用以在聚焦環17內面形成凹凸之加工方法的一範例係可使用噴砂方法。加工方法的其他範例係可使用熱噴塗方法。此情形中,較佳是在聚焦環17內面熱噴塗矽而作成凹凸。藉此,便可增加聚焦環17之表面積(導熱面積),並藉由讓空隙Gp為數μm~10μm以下來提升導熱效果。亦可在載置台12側形成凹凸。
進一步地,為了增加表面積,可在區劃出空間S的面上設置特殊的片。例如,可將以每1平方公分約有100億根之密度並排直徑數奈米~數十奈米之奈米碳管的黏著帶黏貼至區劃出空間S的聚焦環17內面與載置台12表面之至少一者。藉此,便可藉由成長於聚焦環17內面或載置台12表面的奈米碳管黏著帶來顯著地增加區劃出空間S的面之表面積。藉此,便可更加提高導熱效果。
(遠離鐵板之磁鐵的吸引力)
在鐵板上吸附了磁鐵之情形中,對鐵板的吸附力係與表面磁通量密度的2乘方及與鐵板接觸的面積成正比。同樣地在遠離鐵板之磁鐵的情形中,對鐵板的吸附力亦可認為是「與空間磁通量密度的2乘方及相對於鐵板之磁鐵的面積成正比」。於此,空間磁通量密度係所謂遠離磁鐵而置放有鐵板的位置上的磁通量密度。其中,同時有所謂「至鐵板之吸引力係與距離的2乘方成反比」之關係,說來有些複雜。
其中,因為2乘方反比法則係根據微小磁極相關的「庫倫法則」,故實際的磁鐵並非如2乘方反比法則般,而是會因為不同形狀而有很大的差異。
圖6(a)係顯示φ 20mm×10mm的釹磁鐵(Neo48)之吸引力,及空間磁通量密度Bp與距鐵板的距離X之關係的圖表。根據此圖表,空間磁通量密度Bp係距磁鐵的距離越遠,則其大小會越小。又,磁通量密度係會因為磁鐵之殘留磁通量密度之值或磁鐵之形狀而有所差異。例如,參照圖7時,便得知磁通量密度與吸附力並非成正比。亦即,磁通量密度係磁鐵相對於磁化方向之高度較厚,則會變強。
因此,吸引力之大小亦相同地會因為殘留磁通量密度或磁鐵形狀而有所差異,且距離越遠則越弱。如圖6(b)所示,距離X為「0」時的值為表面磁通量密度與吸附力。
(磁鐵與鐵板之間的物質影響)
即使在磁極相異的磁鐵間有完全無法吸附的物質進入其中,由於物質會因為與空氣相同般地通過磁力線,故空間磁通量密度Bp便可認為是與前述遠離鐵板之磁鐵的吸引力之情形相同。例如,磁鐵間插入有塑膠、玻璃、橡膠、紙、木等之情形等便相當於此。又,鋁、不鏽鋼般無法吸附於磁鐵的(非磁性)金屬亦相同。另一方面,當會吸附於磁鐵的物質進入至磁鐵與鐵板之間時便會將磁力線遮斷(吸收)。因此,相對於鐵板的吸引力便會降低或是變得完全無法吸引。然而,即使是磁性體,若為非常薄的物質,則全部的磁力線亦會通過。釹磁鐵雖然以磁性金屬之鎳(鍍)來加以覆蓋,但因為是10微米左右之薄膜,故幾乎不影響磁鐵的性能。
(磁鐵彼此之吸引(吸附)力)
磁化的1組相同形狀的磁鐵分別以N極、S極而加以對向之情形中,因為可得到等同於對向對象為鐵板之情形而距離窄約1/2之情形的空間(表面)磁通量密度,故會產生非常強的吸引(吸附)力。
[其他構造]
就一實施形態相關的基板支撐裝置10之聚焦環17附近的其他構造例,便參照圖8來加以說明。圖8係顯示一實施形態相關的聚焦環17附近的其他構造例。於此,取代將He氣充填於空隙Gp之溫調方法,而是讓導熱片80介設於空隙Gp。導熱片80可黏貼於聚焦環17側,亦可黏貼於載置台12側,亦可埋入至兩者之間。
圖8(a)所示範例中,聚焦環17下面係於中央配置有磁性體64。載置台12之對向位置係配置有磁鐵65。空隙Gp係設置有導熱片80。
圖8(b)所示範例中,聚焦環17下面係配置有錘73。聚焦環17係由矽所形成故較輕。於是,便於聚焦環17內埋設重量較大的物質。藉此,可利用重力來提高聚焦環17與載置台12的密著性。錘73可為鎢或金。然而,為了避免處理腔室內的金屬污染,較佳是讓錘73以不會暴露於電漿空間之方式而埋設於聚焦環17內。空隙Gp係設置有導熱片80。
又,圖8(a)與圖8(b)中,雖然未圖示密封構件,但如圖8(c)所示範例般,可將導熱片80設置於聚焦環17與載置台12所對向的面之至少一者上,並將以O型環之素材來加以塗佈的片構件81(板狀構件)配置於聚焦環17之內周側與外周側,來作為利用導熱片80之處理腔室內的污染對策。O型環之 素材可為例如具抗自由基性的全氟化橡膠(FFKM)或矽。另外,圖8(c)中,聚焦環17下面中央係配置有磁鐵64,且載置台12之對向位置係配置有磁性體62。
另外,圖8中,雖然未圖示導熱氣體之氣體供給管線,但可將導熱片設置於聚焦環17與載置台12所對向的面之至少一者上,並將導熱氣體導入至聚焦環17與載置台12所對向的面間。此情形中,聚焦環17與載置台12所對向的面亦設置有磁性構件。
[位置偏差防止功能]
如圖9(a)所示,靜電吸盤13邊緣部與聚焦環17內周之間係設置有間隙D。間隙D係用以不讓聚焦環17產生破損而有所需要。亦即,因為靜電吸盤13與聚焦環17之熱膨脹率不同,故製程中,靜電吸盤13係較聚焦環17更會熱膨脹。此結果,靜電吸盤13之邊緣部便會接觸並壓迫到聚焦環17內周,使得聚焦環17會有破損之情形。為了避免此情形,間隙D較佳是在徑向上為均等的間隔。
為了讓間隙D成為均等,便需要靜電吸盤13與聚焦環17之定位。定位方法之一範例係列舉為如圖9(b)所示,於聚焦環17內面設置複數個凹陷部17b(圖9(b)中僅圖示一個),並藉由將從載置台12突出的定位銷90插入至凹陷部17b,來進行兩構件之定位的方法。然而,此方法中,要考慮熱膨脹而讓凹陷部17b會較定位銷90要更寬廣地形成有徑向寬度。因此,聚焦環17之位置便只有定位銷90在凹陷部17b之範圍內活動量的移動可能性。
相對於此,本實施形態相關的基板支撐裝置10中,係如圖9(c)所示,在聚焦環17與載置台12所對向的面上設置有磁鐵66、67。藉此,即使因製程中所進入的熱導致之應力而使得聚焦環17稍微移動,仍會藉由磁力使得磁鐵66自動性地回復至磁鐵67上方。其結果,便可相對於靜電吸盤13將聚焦環17回復至正確位置。藉此,靜電吸盤13與聚焦環17之間的間隙D便會在徑向上維持均等的寬度。
另外,亦可取代磁鐵66、67而使用其他磁性構件。磁鐵66、67等磁性構件較佳是於距聚焦環17之內徑中心的距離與距載置台12之中心的距離會成為相等的位置上,分別在聚焦環17側與載置台12側設置複數個。又,可將一對或2對以上的環狀磁性構件設置於距聚焦環17之內徑中心的 距離與距載置台12之中心的距離會成為相等的位置上。
根據以上已說明之本實施形態相關的電漿處理裝置,可讓基板支撐裝置10之載置台12與聚焦環17之間的導熱效率向上提升,並良好地調整聚焦環17之溫度。
以上,雖已藉由一實施形態來說明電漿處理裝置及基板支撐裝置,但本發明不限定於上述實施形態,在本發明之範圍內可進行各種變形及改良。又,可在上述實施形態及變形例不矛盾的範圍下進行組合。
[電漿處理裝置]
以上所說明的基板支撐裝置係可適用於藉由電漿來處理基板的各種電漿處理裝置。例如,電漿處理裝置可包含蝕刻處理裝置、灰化處理裝置、成膜處理裝置等藉由電漿之作用來處理基板的全部裝置。此時,作為讓電漿產生於電漿處理裝置之機構係可使用電容耦合型電漿(CCP:Capacitively Coupled Plasma)產生機構、感應耦合型電漿(ICP:Inductively Coupled Plasma)產生機構、螺旋波激發型電漿(HWP:Helicon Wave Plasma)產生機構、包含由幅線槽天線所生成的微波電漿或SPA(Slot Plane Antenna)電漿之微波激發表面波電漿產生機構、電子環繞共振電漿(ECR:Electron Cyclotron Resonance Plasma)產生機構,以及使用了上述產生機構的遠端電漿產生機構等。
本發明中被施予處理的基板係不限於(半導體)晶圓,亦可為例如,平板顯示器(Flat Panel Display)用大型基板、EL元件或太陽電池用基板。

Claims (11)

  1. 一種電漿處理裝置,係具有載置基板的載置台以及被置放於該載置台之邊緣部周圍的聚焦環之電漿處理裝置,其具有:複數個與該聚焦環相異之材質的構件,係設置於該聚焦環與該載置台所對向的面上;以及溫調裝置,係使用導熱氣體及/或導熱片;在與該載置台對向的該聚焦環的面上形成凹凸;在該載置台與該聚焦環之間設置有空隙;該空隙為10μm以下。
  2. 如申請專利範圍第1項之電漿處理裝置,其中各該複數個與該聚焦環相異之材質的構件為磁鐵、磁性O型環及磁性體之任一者,並在該聚焦環與該載置台所對向的面之對向位置上設置一對。
  3. 如申請專利範圍第2項之電漿處理裝置,其中該複數個與該聚焦環相異之材質的構件係在該聚焦環與該載置台所對向的面中,於距該聚焦環之內徑中心的距離與距該載置台之中心的距離為相等的位置上設置一對。
  4. 如申請專利範圍第1項之電漿處理裝置,其中該複數個與該聚焦環相異之材質的構件係較該聚焦環要重之材質的構件。
  5. 如申請專利範圍第1項之電漿處理裝置,其中該溫調裝置係將該導熱氣體導入至該聚焦環與該載置台所對向的面間,來溫度調整該聚焦環。
  6. 如申請專利範圍第1項之電漿處理裝置,其中該溫調裝置係藉由控制該導熱氣體之壓力,來溫度調整該聚焦環。
  7. 如申請專利範圍第2項之電漿處理裝置,其中該溫調裝置係藉由控制該導熱氣體之壓力,來溫度調整該聚焦環。
  8. 如申請專利範圍第3項之電漿處理裝置,其中該溫調裝置係藉由控制該導熱氣體之壓力,來溫度調整該聚焦環。
  9. 如申請專利範圍第1至8項任一項之電漿處理裝置,其中在該聚焦環與該載置台所對向的面中之至少一面上會形成用以擴散該導熱氣體的溝。
  10. 如申請專利範圍第1至8項任一項之電漿處理裝置,其中該導熱片係設置在該聚焦環與該載置台所對向的面之至少一者上。
  11. 如申請專利範圍第1至8項任一項之電漿處理裝置,其中該載置台係包含靜電吸盤。
TW103128379A 2013-08-21 2014-08-19 電漿處理裝置 TWI636153B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013-171081 2013-08-21
JP2013171081A JP6689020B2 (ja) 2013-08-21 2013-08-21 プラズマ処理装置

Publications (2)

Publication Number Publication Date
TW201522702A TW201522702A (zh) 2015-06-16
TWI636153B true TWI636153B (zh) 2018-09-21

Family

ID=52479300

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103128379A TWI636153B (zh) 2013-08-21 2014-08-19 電漿處理裝置

Country Status (4)

Country Link
US (1) US10622196B2 (zh)
JP (1) JP6689020B2 (zh)
KR (1) KR102175862B1 (zh)
TW (1) TWI636153B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI717074B (zh) * 2018-11-02 2021-01-21 荷蘭商Asm Ip私人控股有限公司 基板處理裝置及基板支撐單元
TWI809233B (zh) * 2018-12-17 2023-07-21 大陸商中微半導體設備(上海)股份有限公司 用於電漿處理設備的射頻電極組件及電漿處理設備
US11875970B2 (en) 2018-12-17 2024-01-16 Advanced Micro-Fabrication Equipment Inc. China Radio frequency electrode assembly for plasma processing apparatus, and plasma processing apparatus

Families Citing this family (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN108028219B (zh) 2015-09-25 2019-06-14 住友大阪水泥股份有限公司 静电卡盘装置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107316795B (zh) * 2016-04-26 2020-01-03 北京北方华创微电子装备有限公司 一种聚焦环和等离子体处理装置
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
EP3504543A4 (en) * 2016-08-29 2020-04-22 Alexandre N. Terentiev SENSOR FOR GASKET APPLICATIONS AND RELATED METHODS
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9922857B1 (en) 2016-11-03 2018-03-20 Lam Research Corporation Electrostatically clamped edge ring
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP7033926B2 (ja) * 2017-04-26 2022-03-11 東京エレクトロン株式会社 プラズマ処理装置
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6797079B2 (ja) * 2017-06-06 2020-12-09 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
CN111226309B (zh) * 2017-11-06 2023-09-19 日本碍子株式会社 静电卡盘组件、静电卡盘及聚焦环
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11043400B2 (en) * 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7204350B2 (ja) * 2018-06-12 2023-01-16 東京エレクトロン株式会社 載置台、基板処理装置及びエッジリング
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
JP7228989B2 (ja) * 2018-11-05 2023-02-27 東京エレクトロン株式会社 載置台、エッジリングの位置決め方法及び基板処理装置
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7129325B2 (ja) * 2018-12-14 2022-09-01 東京エレクトロン株式会社 搬送方法及び搬送システム
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP7340938B2 (ja) * 2019-02-25 2023-09-08 東京エレクトロン株式会社 載置台及び基板処理装置
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
JP2021027152A (ja) * 2019-08-05 2021-02-22 キオクシア株式会社 プラズマ処理装置およびプラズマ処理方法
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR102335472B1 (ko) * 2019-09-04 2021-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
KR20210044568A (ko) * 2019-10-15 2021-04-23 삼성전자주식회사 식각 장치
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
JP7308767B2 (ja) * 2020-01-08 2023-07-14 東京エレクトロン株式会社 載置台およびプラズマ処理装置
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US20230133798A1 (en) * 2020-04-02 2023-05-04 Lam Research Corporation Cooled edge ring with integrated seals
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102608903B1 (ko) * 2021-04-12 2023-12-04 삼성전자주식회사 플라즈마 식각 장치 및 방법
KR20230171955A (ko) 2021-04-21 2023-12-21 도쿄엘렉트론가부시키가이샤 가스 공급 시스템, 기판 처리 장치, 및 가스 공급 시스템의 운용 방법
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023114542A (ja) 2022-02-07 2023-08-18 東京エレクトロン株式会社 基板処理装置及び位置ずれ補正方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005064460A (ja) * 2003-04-24 2005-03-10 Tokyo Electron Ltd プラズマ処理装置、フォーカスリング及び被処理体の載置装置
US20050185359A1 (en) * 2004-02-19 2005-08-25 Anelva Corporation Wafer Stage

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04358071A (ja) * 1991-06-05 1992-12-11 Mitsubishi Electric Corp 真空処理装置
JPH11330047A (ja) * 1998-05-12 1999-11-30 Sony Corp エッチング装置及びエッチング方法
JP2000036486A (ja) * 1998-07-16 2000-02-02 Toshiba Corp プラズマ処理装置及び方法
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6946511B2 (en) * 2002-10-29 2005-09-20 Dupont Dow Elastomers, Llc Plasma resistant elastomer parts
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP4695606B2 (ja) 2007-01-09 2011-06-08 東京エレクトロン株式会社 被処理基板の載置装置におけるフォーカスリングの熱伝導改善方法
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
JP2010171344A (ja) 2009-01-26 2010-08-05 Tokyo Electron Ltd 真空処理装置
JP2010232476A (ja) * 2009-03-27 2010-10-14 Tokyo Electron Ltd プラズマ処理装置
JP5496630B2 (ja) * 2009-12-10 2014-05-21 東京エレクトロン株式会社 静電チャック装置
JP5642531B2 (ja) * 2010-12-22 2014-12-17 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5741124B2 (ja) * 2011-03-29 2015-07-01 東京エレクトロン株式会社 プラズマ処理装置
JP5762798B2 (ja) 2011-03-31 2015-08-12 東京エレクトロン株式会社 天井電極板及び基板処理載置
JP5313375B2 (ja) * 2012-02-20 2013-10-09 東京エレクトロン株式会社 プラズマ処理装置およびフォーカスリングとフォーカスリング部品

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005064460A (ja) * 2003-04-24 2005-03-10 Tokyo Electron Ltd プラズマ処理装置、フォーカスリング及び被処理体の載置装置
US20050185359A1 (en) * 2004-02-19 2005-08-25 Anelva Corporation Wafer Stage

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI717074B (zh) * 2018-11-02 2021-01-21 荷蘭商Asm Ip私人控股有限公司 基板處理裝置及基板支撐單元
TWI809233B (zh) * 2018-12-17 2023-07-21 大陸商中微半導體設備(上海)股份有限公司 用於電漿處理設備的射頻電極組件及電漿處理設備
US11875970B2 (en) 2018-12-17 2024-01-16 Advanced Micro-Fabrication Equipment Inc. China Radio frequency electrode assembly for plasma processing apparatus, and plasma processing apparatus

Also Published As

Publication number Publication date
JP2015041451A (ja) 2015-03-02
JP6689020B2 (ja) 2020-04-28
US20150053348A1 (en) 2015-02-26
TW201522702A (zh) 2015-06-16
KR20150021889A (ko) 2015-03-03
KR102175862B1 (ko) 2020-11-06
US10622196B2 (en) 2020-04-14

Similar Documents

Publication Publication Date Title
TWI636153B (zh) 電漿處理裝置
JP5029089B2 (ja) プラズマ処理装置用の載置台及びプラズマ処理装置
US10872748B2 (en) Systems and methods for correcting non-uniformities in plasma processing of substrates
US11450509B2 (en) Inductive plasma source with metallic shower head using b-field concentrator
KR102644272B1 (ko) 정전척 어셈블리
US20160035610A1 (en) Electrostatic chuck assemblies having recessed support surfaces, semiconductor fabricating apparatuses having the same, and plasma treatment methods using the same
JP5233092B2 (ja) プラズマ処理装置用の載置台及びプラズマ処理装置
JP5125024B2 (ja) プラズマ処理装置用の載置台及びプラズマ処理装置
US8741098B2 (en) Table for use in plasma processing system and plasma processing system
JP6552346B2 (ja) 基板処理装置
US20080041312A1 (en) Stage for plasma processing apparatus, and plasma processing apparatus
TW201519359A (zh) 可調溫度控制靜電夾組件
JP2016127090A (ja) 載置台及びプラズマ処理装置
US20060037702A1 (en) Plasma processing apparatus
JP5674328B2 (ja) 電極及びプラズマ処理装置
JP2008034669A (ja) プラズマ処理装置およびプラズマ処理方法
US10497545B2 (en) Plasma processing apparatus and cleaning method
JP2010157559A (ja) プラズマ処置装置
JP2019109980A (ja) プラズマ処理装置
JP7000521B2 (ja) プラズマ処理装置及び制御方法
JP2005235970A (ja) ウェハーステージ
TW201442139A (zh) 托盤及電漿加工裝置
JP2018022899A (ja) プラズマ処理装置及びプラズマ処理方法